Stratix3 Handbook PDF
Stratix3 Handbook PDF
Stratix3 Handbook PDF
Volume 1
SIII5V1-2.2
Contents
Additional Information
How to Contact Altera . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-xxv
Typographic Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-xxv
Chapter 2. Logic Array Blocks and Adaptive Logic Modules in Stratix III Devices
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1
Logic Array Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1
LAB Interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3
LAB Control Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Chapter 9. High-Speed Differential I/O Interfaces and DPA in Stratix III Devices
I/O Banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2
LVDS Channels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-3
Differential Transmitter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-4
Differential Receiver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-6
Receiver Data Realignment Circuit (Bit Slip) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7
Dynamic Phase Aligner (DPA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
Soft-CDR Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-9
Synchronizer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-10
Programmable Pre-Emphasis and Programmable V OD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-11
Differential I/O Termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-12
Left/Right PLLs (PLL_Lx/ PLL_Rx) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-12
Clocking . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-14
Source-Synchronous Timing Budget . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15
Differential Data Orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15
Differential I/O Bit Position . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15
Receiver Skew Margin for Non-DPA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-17
Chapter 10. Hot Socketing and Power-On Reset in Stratix III Devices
Stratix III Hot-Socketing Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1
Stratix III Devices Can Be Driven Before Power Up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1
I/O Pins Remain Tri-Stated During Power Up . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
Insertion or Removal of a Stratix III Device from a Powered-Up System . . . . . . . . . . . . . . . . . . . . . 10-2
Hot-Socketing Feature Implementation in Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2
Power-On Reset Circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
Power-On Reset Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5
Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-7
Chapter 13. IEEE 1149.1 (JTAG) Boundary-Scan Testing in Stratix III Devices
IEEE Std. 1149.1 BST Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1
IEEE Std. 1149.1 Boundary-Scan Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4
Boundary-Scan Cells of a Stratix III Device I/O Pin . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-5
IEEE Std. 1149.1 BST Operation Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-7
SAMPLE/PRELOAD Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11
EXTEST Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-13
BYPASS Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-15
IDCODE Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-16
USERCODE Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-16
CLAMP Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-16
HIGHZ Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-17
I/O Voltage Support in JTAG Chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-17
IEEE Std. 1149.1 BST Circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18
IEEE Std. 1149.1 BST Circuitry (Disabling) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-19
IEEE Std. 1149.1 BST Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-19
Boundary-Scan Description Language (BSDL) Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-20
Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-21
Chapter IV. Design Security and Single Event Upset (SEU) Mitigation
Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . IV-1
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-8
Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-8
The chapters in this book were revised on the following dates. Where chapters or
groups of chapters are available separately, part numbers are listed.
Chapter 2 Logic Array Blocks and Adaptive Logic Modules in Stratix III Devices
Revised: February 2009
Part Number: SIII51002-1.5
Chapter 9 High-Speed Differential I/O Interfaces and DPA in Stratix III Devices
Revised: July 2010
Part Number: SIII51009-1.9
List of Figures
Figure 1–1: Stratix III Device Packaging Ordering Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14
Figure 2–1: Stratix III LAB Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2
Figure 2–2: Stratix III LAB and MLAB Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3
Figure 2–3: Direct Link Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Figure 2–4: LAB-Wide Control Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
Figure 2–5: High-Level Block Diagram of the Stratix III ALM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
Figure 2–6: Stratix III ALM Details . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7
Figure 2–7: ALM in Normal Mode (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9
Figure 2–8: 4 × 2 Crossbar Switch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
Figure 2–9: Input Function in Normal Mode (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
Figure 2–10: Template for Supported Seven-Input Functions in Extended LUT Mode . . . . . . . . . . . . . . 2-11
Figure 2–11: ALM in Arithmetic Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
Figure 2–12: Conditional Operation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13
Figure 2–13: ALM in Shared Arithmetic Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15
Figure 2–14: Example of a 3-Bit Add Utilizing Shared Arithmetic Mode . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
Figure 2–15: LUT Register from Two Combinational Blocks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
Figure 2–16: ALM in LUT-Register Mode with 3-Register Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
Figure 2–17: Register Chain within an LAB (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
Figure 2–18: Shared Arithmetic Chain, Carry Chain, and Register Chain Interconnects . . . . . . . . . . . . . 2-20
Figure 3–1: R4 Interconnect Connections (Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2
Figure 3–2: Shared Arithmetic Chain, Carry Chain, and Register Chain Interconnects . . . . . . . . . . . . . . . 3-3
Figure 3–3: C4 Interconnect Connections (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4
Figure 3–4: MLAB RAM Block LAB Row Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7
Figure 3–5: M9K RAM Block LAB Row Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8
Figure 3–6: M144K Row Unit Interface to Interconnect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9
Figure 3–7: High-Level View, DSP Block Interface to Interconnect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
Figure 3–8: Detailed View, DSP Block Interface to Interconnect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11
Figure 3–9: Row I/O Block Connection to Interconnect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12
Figure 3–10: Column I/O Block Connection to Interconnect . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13
Figure 4–1: Stratix III Byte-Enable Functional Waveform for M9K and M144K . . . . . . . . . . . . . . . . . . . . . 4-4
Figure 4–2: Stratix III Byte-Enable Functional Waveform for MLABs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5
Figure 4–3: Stratix III Address Clock Enable Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Figure 4–4: Stratix III Address Clock Enable during Read Cycle Waveform . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Figure 4–5: Stratix III Address Clock Enable during Write Cycle Waveform for M9K and M144K . . . . . 4-7
Figure 4–6: Stratix III Address Clock Enable during Write Cycle Waveform for MLABs . . . . . . . . . . . . . 4-8
Figure 4–7: Output Latch Asynchronous Clear Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9
Figure 4–8: ECC Block Diagram of the M144K . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10
Figure 4–9: Single-Port Memory (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
Figure 4–10: Timing Waveform for Read-Write Operations (Single-Port Mode) for M9K and M144K . 4-12
Figure 4–11: Timing Waveform for Read-Write Operations (Single-Port Mode) for MLABs . . . . . . . . . 4-12
Figure 4–12: Stratix III Simple Dual-Port Memory (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13
Figure 4–13: Stratix III Simple Dual-Port Timing Waveforms for M9K and M144K . . . . . . . . . . . . . . . . . 4-14
Figure 4–14: Stratix III Simple Dual-Port Timing Waveforms for MLABs . . . . . . . . . . . . . . . . . . . . . . . . . 4-14
Figure 4–15: Stratix III True Dual-Port Memory (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
Figure 4–16: Stratix III True Dual-Port Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
Figure 4–17: Stratix III Shift-Register Memory Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
Figure 4–18: Stratix III Read-During-Write Data Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21
Figure 4–19: Same Port Read-During-Write: New Data Mode (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . 4-22
Figure 4–20: Same Port Read-During-Write: Old Data Mode (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-22
Figure 4–21: Mixed Port Read During Write: Old Data Mode (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-23
Figure 5–1: Overview of DSP Block Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-3
Figure 5–2: Basic Two-Multiplier Adder Building Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-3
Figure 5–3: Four-Multiplier Adder and Accumulation Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-5
Figure 5–4: Output Cascading Feature for FIR Structures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
Figure 5–5: Stratix III Full DSP Block Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7
Figure 5–6: Half-DSP Block Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9
Figure 5–7: Input Register of Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
Figure 5–8: 18-Bit Independent Multiplier Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15
Figure 5–9: 12-Bit Independent Multiplier Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16
Figure 5–10: 9-Bit Independent Multiplier Mode for Half-Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17
Figure 5–11: 36-Bit Independent Multiplier Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-18
Figure 5–12: Double Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19
Figure 5–13: Unsigned 54 × 54 Multiplier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20
Figure 5–14: Two-Multiplier Adder Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-22
Figure 5–15: Loopback Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-23
Figure 5–16: Complex Multiplier Using Two-Multiplier Adder Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-24
Figure 5–17: Four-Multiplier Adder Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25
Figure 5–18: Four-Multiplier Adder Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27
Figure 5–19: Multiply Accumulate Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28
Figure 5–20: Shift Operation Mode for Half-DSP Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30
Figure 5–21: Round and Saturation Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-33
Figure 5–22: FIR Filter Using Tap-Delay Line Input and Tree Summation of Final Result . . . . . . . . . . . 5-37
Figure 5–23: FIR Filter using Tap-Delay Line Input and Chained Cascade Summation of Final Result 5-38
Figure 5–24: Semi-Parallel FIR Structure Using Chained Cascaded Summation . . . . . . . . . . . . . . . . . . . . 5-40
Figure 5–25: Radix-4 Butterfly . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-41
Figure 6–1: Global Clock Networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
Figure 6–2: Regional Clock Networks (EP3SL50, EP3SL70, and EP3SE50 Devices) . . . . . . . . . . . . . . . . . . 6-3
Figure 6–3: Regional Clock Networks (EP3SL110, EP3SL150, EP3SE80, and EP3SE110 Devices) . . . . . . . 6-3
Figure 6–4: Regional Clock Networks (EP3SL200, EP3SE260, and EP3SL340 Devices) (Note 1) . . . . . . . 6-4
Figure 6–5: Periphery Clock Networks (EP3SL50, EP3SL70, and EP3SE50 Devices) . . . . . . . . . . . . . . . . . 6-4
Figure 6–6: Periphery Clock Networks (EP3SL110, EP3SL150, EP3SE80, and EP3SE110 Devices) . . . . . . 6-5
Figure 6–7: Periphery Clock Networks (EP3SL200 Devices) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5
Figure 6–8: Periphery Clock Networks (EP3SE260 Devices) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
Figure 6–9: Periphery Clock Networks (EP3SL340 Devices) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6
Figure 6–10: Stratix III Dual-Regional Clock Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7
Figure 6–11: Clock Input Multiplexer Logic for L2, L3, T1, T2, B1, B2, R2, and R3 PLLs . . . . . . . . . . . . . 6-14
Figure 6–12: Clock Input Multiplexer Logic for L1, L4, R1, and R4 PLLs . . . . . . . . . . . . . . . . . . . . . . . . . . 6-14
Figure 6–13: Stratix III Global Clock Control Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-15
Figure 6–14: Regional Clock Control Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-15
Figure 6–15: Stratix III External PLL Output Clock Control Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-16
Figure 6–16: clkena Implementation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-17
Figure 6–17: clkena Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-17
Figure 6–18: Stratix III PLL Locations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-20
Figure 6–19: Stratix III PLL Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-21
Figure 6–20: External Clock Outputs for Top/Bottom PLLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-22
Figure 6–21: External Clock Outputs for Left/Right PLLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-23
Figure 6–22: Stratix III PLL Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-24
Figure 6–23: Phase Relationship Between Clock and Data in Source-Synchronous Mode . . . . . . . . . . . . 6-27
Figure 6–24: Phase Relationship Between Clock and Data LVDS Modes . . . . . . . . . . . . . . . . . . . . . . . . . . 6-27
Figure 6–25: Phase Relationship Between PLL Clocks in No Compensation Mode . . . . . . . . . . . . . . . . . 6-28
Figure 6–26: Phase Relationship Between PLL Clocks in Normal Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-29
Figure 6–27: Zero-Delay Buffer Mode in Stratix III PLLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-29
Figure 6–28: Phase Relationship Between PLL Clocks in Zero Delay Buffer Mode . . . . . . . . . . . . . . . . . . 6-30
Figure 6–29: External Feedback Mode in Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-30
Figure 6–30: Phase Relationship Between PLL Clocks in External-Feedback Mode . . . . . . . . . . . . . . . . . 6-31
Figure 6–31: Counter Cascading . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-32
Figure 6–32: Automatic Clock Switchover Circuit Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-34
Figure 6–33: Automatic Switchover Upon Loss of Clock Detection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-35
Figure 6–34: Clock Switchover Using the clkswitch (Manual) Control (Note 1) . . . . . . . . . . . . . . . . . . . 6-36
Figure 6–35: Manual Clock Switchover Circuitry in Stratix III PLLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-37
Figure 6–36: VCO Switchover Operating Frequency . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-38
Figure 6–37: Open- and Closed-Loop Response Bode Plots . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-39
Figure 6–38: Loop Filter Programmable Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-40
Figure 6–39: Delay Insertion Using VCO Phase Output and Counter Delay Time . . . . . . . . . . . . . . . . . . 6-42
Figure 6–40: PLL Reconfiguration Scan Chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-43
Figure 6–41: PLL Reconfiguration Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-45
Figure 6–42: Scan-Chain Order of PLL Components for Top/Bottom PLLs (Note 1) . . . . . . . . . . . . . . . 6-47
Figure 6–43: Scan-Chain Bit-Order Sequence for Post-Scale Counters in Stratix III PLLs . . . . . . . . . . . . 6-47
Figure 6–44: Dynamic Phase Shifting Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-51
Figure 7–1: I/O Banks for Stratix III Devices (Note 1), (2), (3), (4), (5), (6), (7), (8), (9) . . . . . . . . . . . . . . . . 7-6
Figure 7–2: Number of I/Os in Each Bank in EP3SL50, EP3SL70, and EP3SE50 Devices in 484-Pin FineLine
BGA Package (Note 1), (2), . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8
Figure 7–3: Number of I/Os in Each Bank in the 780-pin FineLine BGA Package (Note 1), (2), (3), (4) . 7-9
Figure 7–4: Number of I/Os in Each Bank in the 1152-pin FineLine BGA Package (Note 1), (2), (3), (4) . . .
7-10
Figure 7–5: Number of I/Os in Each Bank in EP2SL200, EP3SE260, and EP3SL340 Devices in the 1517-Pin
FineLine BGA Package (Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11
Figure 7–6: Number of I/Os in Each Bank in EP3SL340 Devices in the 1760-pin FineLine BGA Package
(Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12
Figure 7–7: IOE Structure for Stratix III Devices (Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
Figure 7–8: On-Chip Series Termination without Calibration for Stratix III Devices . . . . . . . . . . . . . . . . 7-21
Figure 7–9: On-Chip Series Termination with Calibration for Stratix III Devices . . . . . . . . . . . . . . . . . . . 7-21
Figure 7–10: On-Chip Parallel Termination with Calibration for Stratix III Devices . . . . . . . . . . . . . . . . . 7-24
Figure 7–11: Dynamic Parallel OCT in Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25
Figure 7–12: Differential Input On-Chip Termination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-26
Figure 7–13: OCT Calibration Block (CB) Location in EP3SL50, EP3SL70, and EP3SE50 Devices (Note 1) .
7-27
Figure 7–14: OCT Calibration Block (CB) Location in EP3SL110, EP3SL150, EP3SE80, and EP3SE110 Devices
(Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28
Figure 7–15: OCT Calibration Block (CB) Location in EP3SL200, EP3SE260 and EP3SL340 (Note 1) . . 7-28
Figure 7–16: Example of Sharing Multiple I/O Banks with One OCT Calibration Block (Note 1) . . . . 7-29
Figure 7–17: Signals Used for User Mode Calibration (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30
Figure 7–18: OCT User-Mode Signal Timing Waveform for One OCT Block . . . . . . . . . . . . . . . . . . . . . . 7-31
Figure 7–19: OCT User-Mode Signal Timing Waveform for Two OCT Blocks . . . . . . . . . . . . . . . . . . . . . 7-32
Figure 7–20: SSTL I/O Standard Termination for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33
Figure 7–21: HSTL I/O Standard Termination for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34
Figure 7–22: Differential SSTL I/O Standard Termination for Stratix III Devices . . . . . . . . . . . . . . . . . . . 7-35
Figure 7–23: Differential HSTL I/O Standard Termination for Stratix III Devices . . . . . . . . . . . . . . . . . . 7-35
Figure 7–24: LVDS I/O Standard Termination for Stratix III Devices (Note 1) . . . . . . . . . . . . . . . . . . . . . 7-36
Figure 7–25: LVPECL AC Coupled Termination (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37
Figure 7–26: LVPECL DC Coupled Termination (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37
Figure 7–27: RSDS I/O Standard Termination for Stratix III Devices (Note 1), (2) . . . . . . . . . . . . . . . . . . 7-38
Figure 7–28: Mini-LVDS I/O Standard Termination for Stratix III Devices (Note 1), (2) . . . . . . . . . . . . 7-39
Figure 8–1: Package Bottom View for Stratix III Devices (Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2
Figure 8–2: External Memory Interface Data Path Overview (Note 1), (2), (3) . . . . . . . . . . . . . . . . . . . . . . 8-3
Figure 8–3: Number of DQS/DQ Groups per Bank in EP3SE50, EP3SL50, and EP3SL70 Devices in the
484-pin FineLine BGA Package (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-8
Figure 8–4: Number of DQS/DQ Groups per Bank in EP3SE50, EP3SL50, EP3SL70, EP3SE80, EP3SE110,
EP3SL110, EP3SL150, EP3SL200, and EP3SE260 Devices in the 780-pin FineLine BGA Package (Note 1) .
8-9
Figure 8–5: Number of DQS/DQ Groups in EP3SE80, EP3SE110, EP3SL110, EP3SL150, EP3SL200,
EP3SE260, and EP3SL340 Devices in the 1152-pin FineLine BGA Package (Note 1) . . . . . . . . . . . . . . . . 8-10
Figure 8–6: Number of DQS/DQ Groups per Bank in EP3SL200, EP3SE260 and EP3SL340 Devices in the
1517-pin FineLine BGA Package . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11
Figure 8–7: DQS/DQ Bus Mode Support per Bank in EP3SL340 Devices in the 1760-pin FineLine BGA Pack-
age . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-12
Figure 8–8: DQS Pins in Stratix III I/O Banks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-14
Figure 8–9: Memory Clock Generation Block Diagram (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-18
Figure 8–10: DQS and CQn Pins and DQS Phase-Shift Circuitry (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . 8-20
Figure 8–11: Stratix III DLL and I/O Bank Locations (Package Bottom View) . . . . . . . . . . . . . . . . . . . . . 8-22
Figure 8–12: Simplified Diagram of the DQS Phase Shift Circuitry (Note 1) . . . . . . . . . . . . . . . . . . . . . 8-26
Figure 8–13: Stratix III DQS Logic Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-29
Figure 8–14: Example of a DQS Update Enable Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-30
Figure 8–15: Avoiding a Glitch on a Non-Consecutive Read Burst Waveform . . . . . . . . . . . . . . . . . . . . . 8-31
Figure 8–16: DDR3 SDRAM Unbuffered Module Clock Topology . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-32
Figure 8–17: Stratix III Write Leveling Delay Chains and Multiplexers (Note 1) . . . . . . . . . . . . . . . . . . . 8-32
Figure 8–18: Stratix III Read Leveling Delay Chains and Multiplexers (Note 1) . . . . . . . . . . . . . . . . . . . 8-33
Figure 8–19: Stratix III Dynamic OCT Control Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-34
Figure 8–20: Stratix III IOE Input Registers (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-35
Figure 8–21: Stratix III IOE Output and Output-Enable Path Registers (Note 1) . . . . . . . . . . . . . . . . . . . 8-38
Figure 8–22: Delay Chain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-39
Figure 8–23: Delay Chains in an I/O Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-40
Figure 8–24: Delay Chains in the DQS Input Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-40
Figure 8–25: I/O Configuration Block and DQS Configuration Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-41
Figure 9–1: I/O Banks in Stratix III Devices (Note 1), (2), (3), (4), (5), (6) . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2
Figure 9–2: Transmitter Block Diagram for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-5
Figure 9–3: Transmitter in Clock Output Mode for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-5
Figure 9–4: Serializer Bypass for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-6
Figure 9–5: Receiver Block Diagram for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7
Figure 9–6: Deserializer Bypass for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-7
Figure 9–7: Data Realignment Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
Figure 9–8: DPA Clock Phase-to-Serial Data Timing Relationship . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8
Figure 9–9: Soft-CDR Data and Clock Path for a Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-10
Figure 9–10: Programmable VOD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-11
Figure 9–11: On-Chip Differential I/O Termination for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . 9-12
Figure 9–12: PLL Block Diagram for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-13
Figure 9–13: LVDS/DPA Clocks with Center PLLs for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . 9-14
Figure 9–14: LVDS/DPA Clocks with Center and Corner PLLs for Stratix III Devices . . . . . . . . . . . . . . 9-14
Figure 9–15: Bit Orientation in Quartus II Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15
Figure 9–16: Bit-Order and Word Boundary for One Differential Channel (Note 1) . . . . . . . . . . . . . . . . 9-16
Figure 9–17: Differential High-Speed Timing Diagram and Timing Budget for Non-DPA . . . . . . . . . . . 9-18
Figure 9–18: Corner and Center Left/Right PLLs Driving DPA-Enabled Differential I/Os in the Same Bank
9-20
Figure 9–19: Center Left/Right PLLs Driving DPA-Enabled Differential I/Os . . . . . . . . . . . . . . . . . . . . . 9-21
Figure 9–20: Invalid Placement of DPA-Enabled Differential I/Os Driven by Both Center Left/Right PLLs
9-22
Figure 9–21: Corner and Center Left/Right PLLs Driving DPA-Disabled Differential I/Os in the Same Bank
9-24
Figure 9–22: Invalid Placement of DPA-Disabled Differential I/Os Due to Interleaving of Channels Driven
by the Corner and Center Left/Right PLLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-25
Figure 9–23: Both Center Left/Right PLLs Driving Cross-Bank DPA-Disabled Channels Simultaneously .
9-26
Figure 10–1: Hot-Socketing Circuitry for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-3
Figure 10–2: Transistor Level Diagram of a Stratix III Device I/O Buffers . . . . . . . . . . . . . . . . . . . . . . . . . 10-4
Figure 10–3: Simplified POR Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-5
Figure 11–1: Enabling Compression for Stratix III Bitstreams in Compiler Settings . . . . . . . . . . . . . . . . . 11-5
Figure 11–2: Compressed and Uncompressed Configuration Data in the Same Configuration File . . . 11-6
Figure 11–3: Single Device FPP Configuration Using an External Host . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-9
Figure 11–4: Multi-Device FPP Configuration Using an External Host . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-12
Figure 11–5: Multiple-Device FPP Configuration Using an External Host When Both Devices Receive the
Same Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-13
Figure 11–6: FPP Configuration Timing Waveform (Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-14
Figure 11–7: FPP Configuration Timing Waveform with Decompression or Design Security Feature En-
abled (Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-15
Figure 11–8: Single Device Fast AS Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-18
Figure 11–9: Multi-Device Fast AS Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-21
Figure 11–10: Multi-Device Fast AS Configuration When the Devices Receive the Same Data Using a Single
SOF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-23
Figure 11–11: Fast AS Configuration Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-24
Figure 11–12: In-System Programming of Serial Configuration Devices . . . . . . . . . . . . . . . . . . . . . . . . . 11-26
Figure 11–13: Single Device PS Configuration Using an External Host . . . . . . . . . . . . . . . . . . . . . . . . . . 11-27
Figure 11–14: Multi-Device PS Configuration Using an External Host . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-30
Figure 11–15: Multiple-Device PS Configuration When Both Devices Receive the Same Data . . . . . . . 11-31
Figure 11–16: PS Configuration Timing Waveform (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-32
Figure 11–17: PS Configuration Using a Download Cable . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-35
Figure 11–18: Multi-Device PS Configuration using a Download Cable . . . . . . . . . . . . . . . . . . . . . . . . . . 11-36
Figure 11–19: JTAG Configuration of a Single Device Using a Download Cable . . . . . . . . . . . . . . . . . . 11-39
Figure 11–20: JTAG Configuration of Multiple Devices Using a Download Cable . . . . . . . . . . . . . . . . . 11-41
Figure 11–21: JTAG Configuration of a Single Device Using a Microprocessor . . . . . . . . . . . . . . . . . . . . 11-42
Figure 12–1: Functional Diagram of Stratix III Remote System Upgrade . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2
Figure 12–2: Remote System Upgrade Block Diagram for Stratix III Fast AS Configuration Scheme . . 12-2
Figure 12–3: Enabling Remote Update for Stratix III Devices in Compiler Settings . . . . . . . . . . . . . . . . . 12-4
Figure 12–4: Transitions Between Configurations in Remote Update Mode . . . . . . . . . . . . . . . . . . . . . . . 12-6
Figure 12–5: Remote System Upgrade Circuit Data Path (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8
Figure 12–6: Remote System Upgrade Control Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
Figure 12–7: Remote System Upgrade Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-10
Figure 12–8: Interface Signals Between the ALTREMOTE_UPDATE Megafunction and the Nios II Proces-
sor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-13
Figure 13–1: IEEE Std. 1149.1 Boundary-Scan Testing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1
Figure 13–2: IEEE Std. 1149.1 Circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3
Figure 13–3: Boundary-Scan Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4
Figure 13–4: Stratix III Device's User I/O BSC with IEEE Std. 1149.1 BST Circuitry . . . . . . . . . . . . . . . . . 13-5
Figure 13–5: IEEE Std. 1149.1 TAP Controller State Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-8
Figure 13–6: IEEE Std. 1149.1 Timing Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9
Figure 13–7: Selecting the Instruction Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9
Figure 13–8: IEEE Std. 1149.1 BST SAMPLE/PRELOAD Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-11
Figure 13–9: SAMPLE/PRELOAD Shift Data Register Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-12
Figure 13–10: IEEE Std. 1149.1 BST EXTEST Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-13
Figure 13–11: EXTEST Shift Data Register Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-14
Figure 13–12: BYPASS Shift Data Register Waveforms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-15
Figure 13–13: JTAG Chain of Mixed Voltages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-18
Figure 14–1: Design Security (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-4
Figure 14–2: Stratix III Security Modes - Sequence and Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6
Figure 15–1: Error Detection Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8
Figure 15–2: Enabling the Error Detection CRC Feature in the Quartus II Software . . . . . . . . . . . . . . . . 15-11
Figure 16–1: Stratix III Power Management Example (Note 1), (2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-5
Figure 16–2: TEMPDIODEP and TEMPDIODEN External Pin Connections . . . . . . . . . . . . . . . . . . . . . . . 16-6
Figure 16–3: TSD Connections . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-6
List of Tables
Table 1–1: FPGA Family Features for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
Table 1–2: Package Options and I/O Pin Counts (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
Table 1–3: FineLine BGA Package Sizes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
Table 1–4: Hybrid FineLine BGA Package Sizes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5
Table 1–5: Speed Grades for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5
Table 1–6: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-14
Table 2–1: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21
Table 3–1: Stratix III Device Routing Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5
Table 3–2: Number of LABs reachable using C4 and R4 interconnects . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6
Table 3–3: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
Table 4–1: Summary of TriMatrix Memory Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
Table 4–2: TriMatrix Memory Capacity and Distribution in Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . 4-3
Table 4–3: Truth Table for ECC Status Flags . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9
Table 4–4: Stratix III Port Width Configurations for MLABs, M9K Blocks, and M144K Blocks (Single-Port
Mode) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
Table 4–5: Stratix III M9K Block Mixed-Width Configurations (Simple Dual-Port Mode) . . . . . . . . . . . 4-13
Table 4–6: Stratix III M144K Block Mixed-Width Configurations (Simple Dual-Port Mode) . . . . . . . . . 4-13
Table 4–7: Stratix III M9K Block Mixed-Width Configuration (True Dual-Port Mode) . . . . . . . . . . . . . . 4-15
Table 4–8: Stratix III M144K Block Mixed-Width Configurations (True Dual-Port Mode) . . . . . . . . . . . 4-16
Table 4–9: Stratix III TriMatrix Memory Clock Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
Table 4–10: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-25
Table 5–1: Number of DSP Blocks in Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
Table 5–2: Stratix III DSP Block Operation Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8
Table 5–3: Input Register Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
Table 5–4: Multiplier Sign Representation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-12
Table 5–5: Examples of Shift Operations (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-31
Table 5–6: Example of Round-To-Nearest-Even Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-31
Table 5–7: Comparison of Round-to-Nearest-Integer and Round-to-Nearest-Even . . . . . . . . . . . . . . . . . 5-32
Table 5–8: Examples of Saturation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-32
Table 5–10: DSP Block Dynamic Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-34
Table 5–10: Document Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-42
Table 6–1: Clock Resources in Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
Table 6–2: Clock Input Pin Connectivity to Global Clock Networks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-8
Table 6–3: Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 1) . . . . . . . . . . . . . . . . . 6-9
Table 6–4: Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 2) . . . . . . . . . . . . . . . . . 6-9
Table 6–5: Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 3) . . . . . . . . . . . . . . . . 6-10
Table 6–6: Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 4) . . . . . . . . . . . . . . . . 6-11
Table 6–7: Stratix III Device PLLs and PLL Clock Pin Drivers (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . 6-11
Table 6–8: PLL Connectivity to GCLKs on Stratix III Devices (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12
Table 6–9: Regional Clock Outputs From PLLs on Stratix III Devices (Note 1) . . . . . . . . . . . . . . . . . . . . 6-13
Table 6–10: Stratix III Device PLL Availability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-18
Table 6–11: Stratix III PLL Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-19
Table 6–12: PLL Input Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-24
Table 6–13: PLL Output Signals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-25
Table 6–14: Clock Feedback Mode Availability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-26
Table 6–15: Real-Time PLL Reconfiguration Ports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-44
Table 6–16: Top/Bottom PLL Reprogramming Bits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-46
Table 11–5: FPP Timing Parameters for Stratix III Devices (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-14
Table 11–6: FPP Timing Parameters for Stratix III Devices with Decompression or Design Security Feature
Enabled (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-16
Table 11–7: Stratix III MSEL Pin Settings for AS Configuration Schemes (Note 1) . . . . . . . . . . . . . . . . 11-17
Table 11–8: Fast AS Timing Parameters for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-24
Table 11–9: Stratix III MSEL Pin Settings for PS Configuration Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . 11-27
Table 11–10: PS Timing Parameters for Stratix III Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-32
Table 11–11: Dedicated JTAG Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-38
Table 11–12: Dedicated Configuration Pin Connections During JTAG Configuration . . . . . . . . . . . . . . 11-40
Table 11–13: Stratix III Configuration Pin Summary (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-43
Table 11–14: Dedicated Configuration Pins on the Stratix III Device . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-44
Table 11–15: Optional Configuration Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-49
Table 11–16: Dedicated JTAG Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-50
Table 11–17: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-51
Table 12–1: Stratix III Remote System Upgrade Modes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3
Table 12–2: Remote System Upgrade Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-8
Table 12–3: Remote System Upgrade Control Register Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-9
Table 12–4: Remote System Upgrade Status Register Contents . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-10
Table 12–5: Control Register Contents After an Error or Reconfiguration Trigger Condition . . . . . . . . 12-11
Table 12–6: 10-MHz Internal Oscillator Specifications . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-12
Table 12–7: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-14
Table 13–1: IEEE Std. 1149.1 Pin Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2
Table 13–2: Stratix III Boundary-Scan Register Length . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4
Table 13–3: Stratix III Device Boundary Scan Cell Descriptions (Note 1) . . . . . . . . . . . . . . . . . . . . . . . . . 13-6
Table 13–4: Stratix III JTAG Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-7
Table 13–5: 32-Bit Stratix III Device IDCODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-16
Table 13–6: Supported TDO/TDI Voltage Combinations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-17
Table 13–7: Disabling IEEE Std. 1149.1 Circuitry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-19
Table 13–8: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-21
Table 14–1: Security Keys Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2
Table 14–2: Key Retention Time of Coin-Cell Type Batteries used for Volatile Key Storage . . . . . . . . . . 14-3
Table 14–3: Security Modes Supported . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5
Table 14–4: Allowed Configuration Modes for Various Security Modes (Note 1) . . . . . . . . . . . . . . . . . . 14-6
Table 14–5: Design Security Configuration Schemes Availability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-7
Table 14–6: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-8
Table 15–1: EDERROR_INJECT JTAG Instruction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-4
Table 15–2: Fault Injection Register and Error Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-5
Table 15–3: CRC_ERROR Pin Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-6
Table 15–4: Error Detection Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-8
Table 15–5: Minimum and Maximum Error Detection Frequencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-9
Table 15–6: Minimum Update Interval for Error Message Register (Note 1) . . . . . . . . . . . . . . . . . . . . 15-10
Table 15–7: CRC Calculation Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-10
Table 15–8: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15-12
Table 16–1: Stratix III Programmable Power Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-3
Table 16–2: Stratix III Power Supply Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-4
Table 16–3: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16-7
Table 17–1: FineLine and Hybrid FineLine BGA Packages for Stratix III Devices . . . . . . . . . . . . . . . . . . . 17-1
Table 17–2: Chapter Revision History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17-2
This handbook provides comprehensive information about the Altera® Stratix ® III
family of devices.
Contact
Contact (Note 1) Method Address
Technical support Website www.altera.com/support
Technical training Website www.altera.com/training
Email custrain@altera.com
Product literature Website www.altera.com/literature
Non-technical support (General) Email nacomp@altera.com
(Software Licensing) Email authorization@altera.com
Note:
(1) You can also contact your local Altera sales office or sales representative.
Typographic Conventions
The following table shows the typographic conventions that this document uses.
Anything that must be typed exactly as it appears is shown in Courier type. For
example: c:\qdesigns\tutorial\chiptrip.gdf. Also, sections of an actual
file, such as a Report File, references to parts of files (e.g., the AHDL keyword
SUBDESIGN ), as well as logic function names (e.g., TRI ) are shown in Courier.
1., 2., 3., and Numbered steps are used in a list of items when the sequence of the items is
a., b., c., etc. important, such as the steps listed in a procedure.
■ ■ Bullets are used in a list of items when the sequence of the items is not important.
v The checkmark indicates a procedure that consists of one step only.
1 The hand points to information that requires special attention.
A caution calls attention to a condition or possible situation that can damage or
c
destroy the product or the user’s work.
A warning calls attention to a condition or possible situation that can cause injury to
w
the user.
r The angled arrow indicates you should press the Enter key.
f The feet direct you to more information on a particular topic.
This section provides a complete overview of all features relating to the Stratix® III
device family, which is the most architecturally advanced, high performance, low
power FPGA in the market place. This section includes the following chapters:
■ Chapter 1, Stratix III Device Family Overview
■ Chapter 2, Logic Array Blocks and Adaptive Logic Modules in Stratix III Devices
■ Chapter 3, MultiTrack Interconnect in Stratix III Devices
■ Chapter 4, TriMatrix Embedded Memory Blocks in Stratix III Devices
■ Chapter 5, DSP Blocks in Stratix III Devices
■ Chapter 6, Clock Networks and PLLs in Stratix III Devices
Revision History
Refer to each chapter for its own specific revision history. For information on when
each chapter was updated, refer to the Chapter Revision Dates section, which appears
in the full handbook.
SIII51001-1.8
The Stratix ® III family provides one of the most architecturally advanced,
high-performance, low-power FPGAs in the marketplace.
Stratix III FPGAs lower power consumption through Altera’s innovative
Programmable Power Technology, which provides the ability to turn on the
performance where needed and turn down the power consumption for blocks not in
use. Selectable Core Voltage and the latest in silicon process optimizations are also
employed to deliver the industry’s lowest power, high-performance FPGAs.
Specifically designed for ease of use and rapid system integration, the Stratix III
FPGA family offers two variants optimized to meet different application needs:
■ The Stratix III L family provides balanced logic, memory, and multiplier ratios for
mainstream applications.
■ The Stratix III E family is memory- and multiplier-rich for data-centric
applications.
Modular I/O banks with a common bank structure for vertical migration lend
efficiency and flexibility to the high-speed I/O. Package and die enhancements with
dynamic on-chip termination, output delay, and current strength control provide
best-in-class signal integrity.
Based on a 1.1-V, 65-nm all-layer copper SRAM process, the Stratix III family is a
programmable alternative to custom ASICs and programmable processors for
high-performance logic, digital signal processing (DSP), and embedded designs.
Stratix III devices include optional configuration bit stream security through volatile
or non-volatile 256-bit Advanced Encryption Standard (AES) encryption. Where
ultra-high reliability is required, Stratix III devices include automatic error detection
circuitry to detect data corruption by soft errors in the configuration random-access
memory (CRAM) and user memory cells.
Features Summary
Stratix III devices offer the following features:
■ 48,000 to 338,000 equivalent logic elements (LEs) (refer to Table 1–1)
■ 2,430 to 20,497 Kbits of enhanced TriMatrix memory consisting of three RAM
block sizes to implement true dual-port memory and FIFO buffers
■ High-speed DSP blocks provide dedicated implementation of 9×9, 12×12, 18×18,
and 36×36 multipliers (at up to 550 MHz), multiply-accumulate functions, and
finite impulse response (FIR) filters
■ I/O:GND:PWR ratio of 8:1:1 along with on-die and on-package decoupling for
robust signal integrity
■ Programmable Power Technology, which minimizes power while maximizing
device performance
The Stratix III logic family (L) offers balanced logic, memory, and multipliers to
address a wide range of applications, while the enhanced family (E) offers more
memory and multipliers per logic and is ideal for wireless, medical imaging, and
military applications.
Stratix III devices are available in space-saving FineLine BGA (FBGA) packages (refer
to Table 1–2 and Table 1–3).
Table 1–2 lists the Stratix III FPGA package options and I/O pin counts.
All Stratix III devices support vertical migration within the same package (for
example, you can migrate between the EP3SL50 and EP3SL70 devices in the 780-pin
FineLine BGA package). Vertical migration allows you to migrate to devices whose
dedicated pins, configuration pins, and power pins are the same for a given package
across device densities.
To ensure that a board layout supports migratable densities within one package
offering, enable the applicable vertical migration path within the Quartus® II
software. On the Assignments menu, point to Device and click Migration Devices.
You can migrate from the L family to the E family without increasing the number of
LEs available. This minimizes the cost of vertical migration.
Table 1–3 lists the Stratix III FineLine BGA (FBGA) package sizes.
Table 1–4 lists the Stratix III Hybrid FineLine BGA (HBGA) package sizes.
Stratix III devices are available in up to three speed grades: –2, –3, and –4, with –2
being the fastest. Stratix III devices are offered in both commercial and industrial
temperature range ratings with leaded and lead-free packages. Selectable Core
Voltage is available in specially marked low-voltage devices (L ordering code suffix).
Table 1–5 lists the Stratix III device speed grades.
Architecture Features
The following section describes the various features of the Stratix III family FPGAs.
f For more information about LABs and ALMs, refer to the Logic Array Blocks and
Adaptive Logic Modules in Stratix III Devices chapter.
f For more information about MLAB modes, features and design considerations, refer
to the TriMatrix Embedded Memory Blocks in Stratix III Devices chapter.
MultiTrack Interconnect
In the Stratix III architecture, connections between ALMs, TriMatrix memory, DSP
blocks, and device I/O pins are provided by the MultiTrack interconnect structure
with DirectDrive technology. The MultiTrack interconnect consists of continuous,
performance-optimized row and column interconnects that span fixed distances. A
routing structure with fixed length resources for all devices allows predictable and
repeatable performance when migrating through different device densities. The
MultiTrack interconnect provides 1-hop connection to 34 adjacent LABs, 2-hop
connections to 96 adjacent LABs and 3-hop connections to 160 adjacent LABs.
DirectDrive technology is a deterministic routing technology that ensures identical
routing resource usage for any function regardless of placement in the device. The
MultiTrack interconnect and DirectDrive technology simplify the integration stage of
block-based designing by eliminating the reoptimization cycles that typically follow
design changes and additions. The Quartus II Compiler also automatically places
critical design paths on faster interconnects to improve design performance.
f For more information, refer to the MultiTrack Interconnect in Stratix III Devices chapter.
f For more information about TriMatrix memory blocks, modes, features, and design
considerations, refer to the TriMatrix Embedded Memory Blocks in Stratix III Devices
chapter.
DSP Blocks
Stratix III devices have dedicated high-performance digital signal processing (DSP)
blocks optimized for DSP applications requiring high data throughput. Stratix III
devices provide you with the ability to implement various high-performance DSP
functions easily. Complex systems such as WiMAX, 3GPP WCDMA, CDMA2000,
voice over Internet Protocol (VoIP), H.264 video compression, and high-definition
television (HDTV) require high-performance DSP blocks to process data. These
system designs typically use DSP blocks to implement finite impulse response (FIR)
filters, complex FIR filters, infinite impulse response (IIR) filters, fast Fourier
transform (FFT) functions, and discrete cosine transform (DCT) functions.
Stratix III devices have up to 112 DSP blocks. The architectural highlights of the
Stratix III DSP block are the following:
■ High-performance, power optimized, fully pipelined multiplication operations
■ Native support for 9-bit, 12-bit, 18-bit, and 36-bit word lengths
■ Native support for 18-bit complex multiplications
■ Efficient support for floating point arithmetic formats (24-bit for Single Precision
and 53-bit for Double Precision)
■ Signed and unsigned input support
■ Built-in addition, subtraction, and accumulation units to efficiently combine
multiplication results
■ Cascading 18-bit input bus to form tap-delay lines
■ Cascading 44-bit output bus to propagate output results from one block to the next
block
■ Rich and flexible arithmetic rounding and saturation units
■ Efficient barrel shifter support
■ Loopback capability to support adaptive filtering
DSP block multipliers can optionally feed an adder/subtractor or accumulator in the
block depending on user configuration. This option saves ALM routing resources and
increases performance, because all connections and blocks are inside the DSP block.
Additionally, the DSP Block input registers can efficiently implement shift registers
for FIR filter applications, and the Stratix III DSP blocks support rounding and
saturation. The Quartus II software includes megafunctions that control the mode of
operation of the DSP blocks based on user parameter settings.
f For more information, refer to the DSP Blocks in Stratix III Devices chapter.
f For more information, refer to the Clock Networks and PLLs in Stratix III Devices
chapter.
f For more information, refer to the Stratix III Device I/O Features chapter.
f For more information about external memory interfaces, refer to the External Memory
Interfaces in Stratix III Devices chapter.
4×, 6×, 7×, 8×, and 10× SERDES modes when using the dedicated DPA circuitry. DPA
minimizes bit errors, simplifies PCB layout and timing management for high-speed
data transfer, and eliminates channel-to-channel and channel-to-clock skew in
high-speed data transmission systems. Soft CDR can also be implemented, enabling
low-cost 1.6-Gbps clock embedded serial links.
Stratix III devices have the following dedicated circuitry for high-speed differential
I/O support:
■ Differential I/O buffer
■ Transmitter serializer
■ Receiver deserializer
■ Data realignment
■ Dynamic phase aligner (DPA)
■ Soft CDR functionality
■ Synchronizer (FIFO buffer)
■ PLLs
f For more information, refer to the High Speed Differential I/O Interfaces with DPA in
Stratix III Devices chapter.
f For more information, refer to the Hot Socketing and Power-On Reset in Stratix III
Devices chapter.
Configuration
Stratix III devices are configured using one of the following four configuration
schemes:
■ Fast passive parallel (FPP)
■ Fast active serial (AS)
■ Passive serial (PS)
■ Joint Test Action Group (JTAG)
All configuration schemes use either an external controller (for example, a MAX® II
device or microprocessor), a configuration device, or a download cable.
f For more information, refer to the Configuring Stratix III Devices chapter.
f For more information, refer to the Remote System Upgrades with Stratix III Devices
chapter.
f For more information, refer to the IEEE 1149.1 (JTAG) Boundary Scan Testing in
Stratix III Devices chapter.
Design Security
Stratix III devices are high-density, high-performance FPGAs with support for 256-bit
volatile and non-volatile security keys to protect designs against copying, reverse
engineering, and tampering. Stratix III devices have the ability to decrypt a
configuration bitstream using the Advanced Encryption Standard (AES) algorithm,
an industry standard encryption algorithm that is FIPS-197 certified and requires a
256-bit security key.
The design security feature is available when configuring Stratix III FPGAs using the
fast passive parallel (FPP) configuration mode with an external host (such as a MAX II
device or microprocessor), or when using fast active serial (AS) or passive serial (PS)
configuration schemes.
f For more information about the design security feature, refer to the Design Security in
Stratix III Devices chapter.
SEU Mitigation
Stratix III devices have built-in error detection circuitry to detect data corruption due
to soft errors in the configuration random-access memory (CRAM) cells. This feature
allows all CRAM contents to be read and verified continuously during user mode
operation to match a configuration-computed CRC value. The enhanced CRC circuit
and frame-based configuration architecture allows detection and location of multiple,
single, and adjacent bit errors which, in conjunction with a soft circuit supplied as a
reference design, allows don’t-care soft errors in the CRAM to be ignored during
device operation. This provides a steep decrease in the effective soft error rate,
increasing system reliability.
On-chip memory block SEU mitigation is also offered using the ninth bit and a
configurable megafunction in the Quartus II software for MLAB and M9K blocks
while the M144K memory blocks have built-in error correction code (ECC) circuitry.
f For more information about the dedicated error detection circuitry, refer to the SEU
Mitigation in Stratix III Devices chapter.
Programmable Power
Stratix III delivers Programmable Power, the only FPGA with user programmable
power options balancing today’s power and performance requirements. Stratix III
devices utilize the most advanced power-saving techniques, including a variety of
process, circuit, and architecture optimizations and innovations. In addition, user
controllable power reduction techniques provide an optimal balance of performance
and power reduction specific for each design configured into the Stratix III FPGA. The
Quartus II software (starting from version 6.1) automatically optimizes designs to
meet the performance goals while simultaneously leveraging the programmable
power-saving options available in the Stratix III FPGA without the need for any
changes to the design flow.
f For more information about Programmable Power in Stratix III devices, refer to the
following documents:
■ Programmable Power and Temperature Sensing Diode in Stratix III Devices chapter
■ AN 437: Power Optimization in Stratix III FPGAs
■ Stratix III Programmable Power White Paper
Signal Integrity
Stratix III devices simplify the challenge of signal integrity through a number of chip,
package, and board level enhancements to enable efficient high-speed data transfer
into and out of the device. These enhancements include:
■ 8:1:1 user I/O/Gnd/VCC ratio to reduce the loop inductance in the package
■ Dedicated power supply for each I/O bank, limit of I/Os is 24 to 48 I/Os per bank,
to help limit simultaneous switching noise
■ Programmable slew-rate support with up to four settings to match desired I/O
standard, control noise, and overshoot
■ Programmable output-current drive strength support with up to six settings to
match desired I/O standard performance
■ Programmable output-delay support to control rise/fall times and adjust duty
cycle, compensate for skew, and reduce simultaneous switching outputs (SSO)
noise
■ Dynamic OCT with auto calibration support for series and parallel OCT and
differential OCT support for LVDS I/O standard on the left/right banks
f For more information about SI support in the Quartus II software, refer to the
Quartus II Handbook.
f For more information about how to use the various configuration, PLL, external
memory interfaces, I/O, high-speed differential I/O, power, and JTAG pins, refer to
the Stratix III Device Family Pin Connection Guidelines.
Software Support
Stratix III devices are supported by the Altera Quartus II design software, version 6.1
and later, which provides a comprehensive environment for
system-on-a-programmable-chip (SOPC) design. The Quartus II software includes
HDL and schematic design entry, compilation and logic synthesis, full simulation and
advanced timing analysis, SignalTap® II logic analyzer, and device configuration.
f For more information about the Quartus II software features, refer to the Quartus II
Handbook.
Ordering Information
Figure 1–1 shows the ordering codes for Stratix III devices.
f For more information about a specific package, refer to the Stratix III Device Package
Information chapter.
Package Type
Pin Count
SIII51002-1.5
Introduction
This chapter describes the features of the logic array block (LAB) in the Stratix® III
core fabric. The logic array block is composed of basic building blocks known as
adaptive logic modules (ALMs) that can be configured to implement logic functions,
arithmetic functions, and register functions.
R20
R4
ALMs
Direct link
interconnect from
adjacent block
Direct link
interconnect from
adjacent block
The LAB of Stratix III has a new derivative called Memory LAB (MLAB), which adds
look-up table (LUT)-based SRAM capability to the LAB as shown in Figure 2–2. The
MLAB supports a maximum of 320-bits of simple dual-port static random access
memory (SRAM). You can configure each ALM in an MLAB as a 16 × 2 block,
resulting in a configuration of 16 × 20 simple dual port SRAM block. MLAB and LAB
blocks always co-exist as pairs in all Stratix III families. MLAB is a superset of the LAB
and includes all LAB features. Figure 2–2 shows an overview of LAB and MLAB
topology.
f The MLAB is described in detail in the TriMatrix Embedded Memory Blocks in Stratix III
Devices chapter in volume 1 of the Stratix III Device Handbook.
(1)
LUT-based-16 x 2 ALM
Simple dual port SRAM
LUT-based-16 x 2 (1)
ALM
Simple dual port SRAM
LUT-based-16 x 2 (1)
ALM
Simple dual port SRAM
LUT-based-16 x 2 (1)
ALM
Simple dual port SRAM
LUT-based-16 x 2 (1)
ALM
Simple dual port SRAM
(1)
LUT-based-16 x 2
Simple dual port SRAM ALM
LUT-based-16 x 2 (1)
Simple dual port SRAM ALM
LUT-based-16 x 2 (1)
Simple dual port SRAM ALM
LUT-based-16 x 2 (1)
ALM
Simple dual port SRAM
LUT-based-16 x 2 (1)
ALM
Simple dual port SRAM
MLAB LAB
LAB Interconnects
The LAB local interconnect can drive ALMs in the same LAB. It is driven by column
and row interconnects and ALM outputs in the same LAB. Neighboring
LABs/MLABs, M9K RAM blocks, M144K blocks, or DSP blocks from the left and
right can also drive a LAB's local interconnect through the direct link connection. The
direct link connection feature minimizes the use of row and column interconnects,
providing higher performance and flexibility. Each ALM can drive 30 ALMs through
fast local and direct link interconnects.
ALMs ALMs
MLAB LAB
6
Dedicated Row LAB Clocks
Local Interconnect
Local Interconnect
Local Interconnect
Local Interconnect
Local Interconnect
Local Interconnect
labclk
Combinational/Memory ALUT0
To general or
dataf0
local routing
datae0 6-Input LUT To general or
adder0 D Q
dataa local routing
reg0
datab
datac
Combinational/Memory ALUT1
reg_chain_out
shared_arith_out carry_out
interconnect
row, column
row, column
row, column
row, column
local
local
reg_chain_out
Q
Q
CLR
CLR
D
D
reg_chain_in
aclr[1:0]
sclr
syncload
clk[2:0]
GND
VCC
carry_out
carry_in
shared_arith_out
shared_arith_in
4-INPUT
4-INPUT
3-INPUT
3-INPUT
3-INPUT
3-INPUT
LUT
LUT
LUT
LUT
LUT
LUT
datae0
datae1
dataf0
dataf1
dataa
datab
datad
datac
One ALM contains two programmable registers. Each register has data, clock, clock
enable, synchronous and asynchronous clear, and synchronous load/clear inputs.
Global signals, general-purpose I/O pins, or any internal logic can drive the register's
clock and clear control signals. Either general-purpose I/O pins or internal logic can
drive the clock enable. For combinational functions, the register is bypassed and the
output of the LUT drives directly to the outputs of an ALM.
Each ALM has two sets of outputs that drive the local, row, and column routing
resources. The LUT, adder, or register output can drive these output drivers (refer to
Figure 2–6). For each set of output drivers, two ALM outputs can drive column, row,
or direct link routing connections, and one of these ALM outputs can also drive local
interconnect resources. This allows the LUT or adder to drive one output while the
register drives another output.
This feature, called register packing, improves device utilization because the device
can use the register and the combinational logic for unrelated functions. Another
special packing mode allows the register output to feed back into the LUT of the same
ALM so that the register is packed with its own fan-out LUT. This provides another
mechanism for improved fitting. The ALM can also drive out registered and
unregistered versions of the LUT or adder output.
1 Refer to “LAB Control Signals” on page 2–4 for more information on the LAB-wide
control signals.
Normal Mode
The normal mode is suitable for general logic applications and combinational
functions. In this mode, up to eight data inputs from the LAB local interconnect are
inputs to the combinational logic. The normal mode allows two functions to be
implemented in one Stratix III ALM, or an ALM to implement a single function of up
to six inputs. The ALM can support certain combinations of completely independent
functions and various combinations of functions that have common inputs. Figure 2–7
shows the supported LUT combinations in normal mode.
dataf0 dataf0
datae0 4-Input datae0
combout0 5-Input
datac datac combout0
LUT LUT
dataa
dataa
datab
datab
datad 4-Input
combout1 5-Input
datae1 LUT datad combout1
dataf1 LUT
datae1
dataf1
dataf0
datae0
5-Input dataf0
datac combout0
LUT datae0
dataa
dataa 6-Input
datab combout0
datab LUT
datac
datad
datad
datae1 3-Input combout1
LUT
dataf1
dataf0
datae0
dataa 6-Input
combout0
dataf0 datab LUT
datae0 datac
5-Input datad
datac combout0
LUT
dataa
datab
6-Input
combout1
datad 4-Input LUT
combout1 datae1
datae1 LUT
dataf1
dataf1
The normal mode provides complete backward compatibility with four-input LUT
architectures.
For the packing of 2 five-input functions into one ALM, the functions must have at
least two common inputs. The common inputs are dataa and datab. The
combination of a four-input function with a five-input function requires one common
input (either dataa or datab).
In the case of implementing 2 six-input functions in one ALM, four inputs must be
shared and the combinational function must be the same. For example, a 4 × 2
crossbar switch (two 4-to-1 multiplexers with common inputs and unique select lines)
can be implemented in one ALM, as shown in Figure 2–8. The shared inputs are
dataa, datab, datac, and datad, while the unique select lines are datae0 and
dataf0 for function0, and datae1 and dataf1 for function1. This crossbar
switch consumes four LUTs in a four-input LUT-based architecture.
sel0[1..0]
dataf0
inputa datae0
inputb Six-Input
out0 dataa
LUT combout0
datab
inputc (Function0)
datac
inputd
datad
out1
sel1[1..0] Six-Input
LUT combout1
datae1 (Function1)
dataf1
In a sparsely used device, functions that could be placed into one ALM may be
implemented in separate ALMs by the Quartus II software in order to achieve the best
possible performance. As a device begins to fill up, the Quartus II software
automatically utilizes the full potential of the Stratix III ALM. The Quartus II
Compiler automatically searches for functions of common inputs or completely
independent functions to be placed into one ALM and to make efficient use of the
device resources. In addition, you can manually control resource usage by setting
location assignments.
Any six-input function can be implemented utilizing inputs dataa, datab, datac,
datad, and either datae0 and dataf0 or datae1 and dataf1. If datae0 and
dataf0 are utilized, the output is driven to register0, and/or register0 is
bypassed and the data drives out to the interconnect using the top set of output
drivers (refer to Figure 2–9). If datae1 and dataf1 are utilized, the output drives to
register1 and/or bypasses register1 and drives to the interconnect using the
bottom set of output drivers. The Quartus II Compiler automatically selects the inputs
to the LUT. ALMs in normal mode support register packing.
dataf0 To general or
datae0 local routing
dataa 6-Input
datab LUT To general or
D Q
datac local routing
datad
reg0
datae1
dataf1
To general or
(2) D Q
local routing
labclk reg1
These inputs are available for register packing.
Figure 2–10. Template for Supported Seven-Input Functions in Extended LUT Mode
datae0
datac
dataa 5-Input
datab To general or
datad LUT
local routing
dataf0
combout0
To general or
D Q
local routing
5-Input
LUT reg0
datae1
dataf1
(1)
Arithmetic Mode
The arithmetic mode is ideal for implementing adders, counters, accumulators, wide
parity functions, and comparators. The ALM in arithmetic mode uses two sets of 2
four-input LUTs along with two dedicated full adders. The dedicated adders allow
the LUTs to be available to perform pre-adder logic; therefore, each adder can add the
output of 2 four-input functions.
The four LUTs share the dataa and datab inputs. As shown in Figure 2–11, the
carry-in signal feeds to adder0, and the carry-out from adder0 feeds to carry-in of
adder1. The carry-out from adder1 drives to adder0 of the next ALM in the LAB.
ALMs in arithmetic mode can drive out registered and/or unregistered versions of
the adder outputs.
datae0 adder0
4-Input
To general or
LUT local routing
To general or
D Q
local routing
dataf0
datac 4-Input reg0
datab LUT
dataa
adder1
4-Input
To general or
datad LUT local routing
datae1
D Q
To general or
local routing
4-Input reg1
LUT
dataf1
carry_out
While operating in arithmetic mode, the ALM can support simultaneous use of the
adder's carry output along with combinational logic outputs. In this operation, the
adder output is ignored. This usage of the adder with the combinational logic output
provides resource savings of up to 50% for functions that can use this ability. An
example of such functionality is a conditional operation, such as the one shown in
Figure 2–12.
ALM 1
ALM 2
Carry Chain
The carry chain provides a fast carry function between the dedicated adders in
arithmetic or shared arithmetic mode. The two-bit carry select feature in Stratix III
devices halves the propagation delay of carry chains within the ALM. Carry chains
can begin in either the first ALM or the sixth ALM in an LAB. The final carry-out
signal is routed to a ALM, where it is fed to local, row, or column interconnects.
The Quartus II Compiler automatically creates carry chain logic during design
processing, or you can create it manually during design entry. Parameterized
functions such as LPM functions automatically take advantage of carry chains for the
appropriate functions.
The Quartus II Compiler creates carry chains longer than 20 (10 ALMs in arithmetic or
shared arithmetic mode) by linking LABs together automatically. For enhanced
fitting, a long carry chain runs vertically allowing fast horizontal connections to
TriMatrix™ memory and DSP blocks. A carry chain can continue as far as a full
column.
To avoid routing congestion in one small area of the device when a high fan-in
arithmetic function is implemented, the LAB can support carry chains that only utilize
either the top half or the bottom half of the LAB before connecting to the next LAB.
This leaves the other half of the ALMs in the LAB available for implementing
narrower fan-in functions in normal mode. Carry chains that use the top five ALMs in
the first LAB carry into the top half of the ALMs in the next LAB within the column.
Carry chains that use the bottom five ALMs in the first LAB carry into the bottom half
of the ALMs in the next LAB within the column. In every alternate LAB column, the
top half can be bypassed; in the other MLAB columns, the bottom half can be
bypassed.
carry_in
labclk
4-Input
To general or
LUT local routing
To general or
D Q
local routing
datae0
datac 4-Input reg0
datab LUT
dataa
4-Input
To general or
datad LUT local routing
datae1
D Q
To general or
local routing
4-Input reg1
LUT
carry_out
shared_arith_out
You can find adder trees in many different applications. For example, the summation
of the partial products in a logic-based multiplier can be implemented in a tree
structure. Another example is a correlator function that can use a large adder tree to
sum filtered data samples in a given time frame to recover or to de-spread data that
was transmitted utilizing spread spectrum technology.
An example of a three-bit add operation utilizing the shared arithmetic mode is
shown in Figure 2–14. The partial sum (S[3..0]) and the partial carry (C[3..0]) is
obtained using the LUTs, while the result (R[3..0]) is computed using the dedicated
adders.
carry_in = '0'
ALM Implementation
ALM 1
3-Input S0
3-Bit Add Example LUT
R0
X3 X2 X1 X0 X0
3-Input C0
1st stage add is implemented Y0
Y3 Y2 Y1 Y0 LUT
in LUTs. Z0
+ Z3 Z2 Z1 Z0
X1 S1
3-Input
S3 S2 S1 S0 Y1
2nd stage add is implemented LUT
Z1
in s. + C3 C2 C1 C0 R1
R4 R3 R2 R1 R0 3-Input C1
LUT
Decimal ALM 2
Binary Add Equivalents 3-Input S2
LUT
1110 14 R2
0100 4 X2
3-Input C2
Y2
+1101 + 13 Z2 LUT
0111 7 X3 3-Input S3
+1100 + 2 x 12 Y3
LUT
Z3
R3
11111 31
3-Input
LUT
C3
R4
LUT-Register Mode
LUT-Register mode allows third register capability within an ALM. Two internal
feedback loops allow combinational ALUT1 to implement the master latch and
combinational ALUT0 to implement the slave latch needed for the third register. The
LUT register shares its clock, clock enable, and asynchronous clear sources with the
top dedicated register. Figure 2–15 shows the register constructed using two
combinational blocks within the ALM. Figure 2–16 shows the ALM in LUT-Register
mode.
sumout
5-input combout
datain(datac)
LUT
sclr
DC1 datain
lelocal 0
aclr
aclr
datain
sclr regout
latchout
sdata leout 0 a
regout
leout 0 b
E0
F1
lelocal 1
aclr
datain
E1 leout 1 a
sdata regout
F0
leout 1 b
reg_chain_out
Register Chain
In addition to the general routing outputs, the ALMs in an LAB have register chain
outputs. The register chain routing allows registers in the same LAB to be cascaded
together. The register chain interconnect allows a LAB to use LUTs for a single
combinational function and the registers to be used for an unrelated shift register
implementation. These resources speed up connections between ALMs while saving
local interconnect resources (refer to Figure 2–17). The Quartus II Compiler
automatically takes advantage of these resources to improve utilization and
performance.
adder0 To general or
D Q
local routing
reg0
Combinational
Logic
adder1 To general or
D Q
local routing
reg1
To general or
local routing
To general or
local routing
adder0 To general or
D Q
local routing
reg0
Combinational
Logic
adder1 To general or
D Q
local routing
reg1
To general or
local routing
reg_chain_out
To next ALM
within the LAB
ALM Interconnects
There are three dedicated paths between ALMs: Register Cascade, Carry-chain, and
Shared Arithmetic chain. Stratix III devices include an enhanced interconnect
structure in LABs for routing shared arithmetic chains and carry chains for efficient
arithmetic functions. The register chain connection allows the register output of one
ALM to connect directly to the register input of the next ALM in the LAB for fast shift
registers. These ALM-to-ALM connections bypass the local interconnect. The
Quartus II Compiler automatically takes advantage of these resources to improve
utilization and performance.Figure 2–18 shows the shared arithmetic chain, carry
chain, and register chain interconnects.
Figure 2–18. Shared Arithmetic Chain, Carry Chain, and Register Chain Interconnects
Local interconnect
routing among ALMs
in the LAB
Local
ALM 3
interconnect
ALM 4
ALM 5
ALM 6
ALM 7
ALM 8
ALM 9
ALM 10
f For information about routing between LABs, refer to the MultiTrack Interconnect in
Stratix III Devices chapter in volume 1 of the Stratix III Device Handbook.
f Refer to the Power Optimization chapter in section 3 of the Quartus II Handbook for
details on implementation.
f For detailed information about Stratix III programmable power capabilities, refer to
the Programmable Power and Temperature Sensing Diode in Stratix III Devices chapter in
volume 1 of the Stratix III Device Handbook.
Conclusion
Logic array block and adaptive logic modules are the basic building blocks of the
Stratix III device. You can use these to configure logic functions, arithmetic functions,
and register functions. The ALM provides advanced features with efficient logic
utilization and is completely backward-compatible.
SIII51003-1.2
Introduction
Stratix ® III devices contain a two-dimensional row- and column-based architecture to
implement custom logic. A series of column and row interconnects of varying length
and speed provides signal interconnects between logic array blocks (LABs), memory
block structures, digital signal processing (DSP) blocks, and input/output elements
(IOE). These blocks communicate with themselves and to one another through a
fabric of routing wires. This chapter provides details on the Stratix III core routing
structure. It also describes how Stratix III block types interface to this fabric.
In the Stratix III architecture, connections between adaptive logic modules (ALMs),
TriMatrix memory, DSP blocks, and device I/O pins are provided by the MultiTrack
interconnect structure with DirectDrive technology. The MultiTrack interconnect
consists of continuous, performance-optimized routing lines of different lengths and
speeds used for inter- and intra-design block connectivity. The Quartus® II Compiler
automatically routes critical design paths on faster interconnects to improve design
performance.
DirectDrive technology is a deterministic routing technology that ensures identical
routing resource usage for any function regardless of placement in the device. The
MultiTrack interconnect and DirectDrive technology simplify the integration stage of
block-based designing by eliminating the re-optimization cycles that typically follow
design changes and additions.
The MultiTrack interconnect consists of row and column interconnects that span fixed
distances. A routing structure with fixed length resources for all devices allows
predictable and repeatable performance when migrating through different device
densities.
Row Interconnects
Dedicated row interconnects route signals to and from LABs, DSP blocks, and
TriMatrix memory blocks in the same row. These row interconnect resources include:
■ Direct link interconnects between LABs and adjacent blocks
■ R4 interconnects traversing four blocks to the right or left
■ R20 row interconnects for high-speed access across the length of the device
The direct link interconnect allows a LAB, DSP block, or TriMatrix memory block to
drive into the local interconnect of its left and right neighbors. This capability
provides fast communication between adjacent LABs and blocks without using row
interconnect resources. The direct link interconnect is the fastest way to communicate
between two adjacent blocks.
The R4 interconnects span a combination of four LABs, memory logic array blocks
(MLAB), DSP blocks, M9K blocks, and M144K blocks. Use these resources for fast row
connections in a four-LAB region. Figure 3–1 shows R4 interconnect connections from
a LAB. R4 interconnects can drive and be driven by DSP blocks and RAM blocks and
row IOEs. For LAB interfacing, a primary LAB or LAB neighbor can drive a given R4
interconnect. For R4 interconnects that drive to the right, the primary LAB and right
neighbor can drive to the interconnect. For R4 interconnects that drive to the left, the
primary LAB and its left neighbor can drive the interconnect. R4 interconnects can
drive other R4 interconnects to extend the range of LABs they drive. R4 interconnects
can also drive C4 and C12 (column interconnects) for connections from one row to
another. Additionally, R4 interconnects can drive R20 interconnects.
R4 Interconnect
Driving Left
R20 row interconnects span 20 LABs and provide the fastest resource for row
connections between distant LABs, TriMatrix memory, DSP blocks, and row IOEs. R20
row interconnects drive LAB local interconnects via R4 and C4 interconnects. R20
interconnects can drive R20, R4, C12, and C4 interconnects.
Column Interconnects
The column interconnect operates similarly to the row interconnect. It vertically
routes signals to and from LABs, TriMatrix memory, DSP blocks, and IOEs. Each
column of LABs is served by a dedicated column interconnect. These column
interconnect resources include:
■ Shared arithmetic chain interconnects in a LAB and from LAB to LAB
■ Carry chain interconnects in a LAB and from LAB to LAB
■ Register chain interconnects in a LAB
■ C4 interconnects traversing a distance of four blocks in the same device column
■ C12 column interconnects for high-speed vertical routing through the device
Figure 3–2. Shared Arithmetic Chain, Carry Chain, and Register Chain Interconnects
Local Interconnect
Routing Among ALMs
in the LAB
Local ALM 3
Interconnect
ALM 4
ALM 5
ALM 6
ALM 7
ALM 8
ALM 9
ALM10
The C4 interconnects span four adjacent interfaces in the same device column. C4
interconnects also pass by M144K and DSP blocks. A single M144K block utilizes
eight adjacent interfaces in the same column. A DSP block utilizes four adjacent
interfaces in the same column. Figure 3–3 shows the C4 interconnect connections from
a LAB in a column. The C4 interconnects can drive and be driven by all types of
architecture blocks, including DSP blocks, TriMatrix memory blocks, and column and
row IOEs. For LAB interconnection, a primary LAB or its LAB neighbor can drive a
given C4 interconnect. C4 interconnects can drive each other to extend their range as
well as drive row interconnects for column-to-column connections.
C4 Interconnects
Drives Local and R4
Interconnects
up to Four Rows
C4 Interconnects
Driving Up
LAB
R4
Interconnects
Local
Interconnect C4 Interconnects
Driving Down
C12 column interconnects span a length of 12 LABs and provide the fastest resource
for column connections between distant LABs, TriMatrix memory blocks, DSP blocks,
and IOEs. C12 interconnects drive LAB local interconnects via C4 and R4
interconnects and do not drive LAB local interconnects directly.
All embedded blocks communicate with the logic array through interconnects similar
to LAB-to-LAB interfaces. Each block (for example, TriMatrix memory blocks and
DSP blocks) connects to row and column interconnects and has local interconnect
regions driven by row and column interconnects. These blocks also have direct link
interconnects for fast connections to and from a neighboring LAB.
Table 3–1 shows the Stratix III device's routing scheme.
Table 3–1. Stratix III Device Routing Scheme
Destination
Register Chain
Inter-connect
Inter-connect
Inter-connect
Inter-connect
Inter-connect
Inter-connect
Shared Arith-
metic Chain
Carry Chain
Column IOE
DSP Blocks
Direct Link
RAM Block
RAM Block
Row IOE
M144K
MLAB
Block
Local
M9K
ALM
R20
C12
R4
C4
Source
Shared — — — — — — — — — v — — — — — —
arithmetic chain
Carry chain — — — — — — — — — v — — — — — —
Register chain — — — — — — — — — v — — — — — —
Local — — — — — — — — — v v v v v v v
interconnect
Direct link — — — v — — — — — — — — — — — —
interconnect
R4 interconnect — — — v — v v v v — — — — — — —
R20 — — — v — v v v v — — — — — — —
interconnect
C4 interconnect — — — v — v — v — — — — — — — —
C12 interconnect — — — v — v v v v — — — — — — —
ALM v v v v v v — v — — — — — — — —
MLAB RAM — — — v v v — v — — — — — — — —
block
M9K RAM block — — — — v v — v — — — — — — — —
M144K block — — — — v v — v — — — — — — — —
DSP blocks — — — — v v — v — — — — — — — —
Column IOE — — — — — — — v v — — — — — — —
Row IOE — — — — v v v v — — — — — — — —
Notes to Table 3–1:
(1) Except column IOE local interconnects.
(2) Row IOE local interconnects.
(3) Column IOE local interconnects.
dataout
clocks
control
signals
datain address
The M9K RAM block local interconnect is driven by the R4, C4, and direct link
interconnects from adjacent LABs. The M9K RAM blocks can communicate with
LABs on either the left or right side through these row resources or with LAB columns
on either the right or left with the column resources. Up to 20 direct link input
connections to the M9K RAM Block are possible from the left adjacent LABs and
another 20 possible from the right adjacent LAB. M9K RAM block outputs can also
connect to left and right LABs through direct link interconnect. Figure 3–5 shows the
M9K RAM block to logic array interface.
dataout
M9K
Direct link 20 Direct link
20
interconnect interconnect
from adjacent LAB from adjacent LAB
datain
byte
control enable
signals
clocks
address
The M144K blocks use eight interfaces in the same device column. The M144K block
local interconnects are driven by R4, C4, and direct link interconnects from adjacent
LABs on either the right or left side of the MRAM block. Up to 20 direct link input
connections to the M144K block are possible from the left adjacent LABs and another
20 possible from the right adjacent LAB. M144K block outputs can also connect to the
LABs on the block’s left and right sides through direct link interconnect. Figure 3–6
shows the interface between the M144K RAM block and the logic array.
Up to 5 Up to 10
dataout_a[ ]
20 20
datain_a[ ]
addressa[ ] Up to 16 Direct Link
Direct Link Up to 14
addressstall Interconnects
Interconnects rden/wren
byteena[ ]
clocken_a
clock_a
aclr
M144K Block to
M144K Block to
LAB Row Interface
LAB Row Interface
Block Interconnect Region
Block Interconnect Region
OA[17..0]
R4, C4 & Direct OB[17..0] R4, C4 & Direct
Link Interconnects Link Interconnects
A1[35..0]
B1[35..0]
OC[17..0]
OD[17..0]
A2[35..0]
B2[35..0]
OE[17..0]
OF[17..0]
A3[35..0]
B3[35..0]
OG[17..0]
OH[17..0]
A4[35..0]
B4[35..0]
36
DSP Block
LAB 36 Row Structure LAB
18
20 20
12
Control
72 36
A[35..0] OA[17..0]
B[35..0] OB[17..0]
Row Interface
Block
R20 Interconnects
R4 Interconnects C4 Interconnects
io_dataina[3..0]
io_datainb[3..0]
52 IO_dataina[3:0] io_clk[7..0]
IO_datainb[3:0]
I/O Block
Local Interconnect
R4 Interconnects
Conclusion
Stratix III devices consist of an array of logic blocks such as LABs, TriMatrix memory,
DSP blocks, and IOEs. These blocks communicate with themselves and one another
through the MultiTrack interconnect structures. The Quartus II compiler
automatically routes critical design paths on faster interconnects to improve design
performance and optimize the device resources.
SIII51004-1.8
Introduction
TriMatrix embedded memory blocks provide three different sizes of embedded
SRAM to efficiently address the needs of Stratix® III FPGA designs. TriMatrix memory
includes 640- (in ROM mode only) or 320-bit memory logic array blocks (MLABs),
9-Kbit M9K blocks, and 144-Kbit M144K blocks. The MLABs have been optimized to
implement filter delay lines, small first-in first-out (FIFO) buffers, and shift registers.
You can use the M9K blocks for general purpose memory applications, and the
M144K blocks are ideal for processor code storage, packet buffering, and video frame
buffering.
You can independently configure each embedded memory block to be a single- or
dual-port RAM, FIFO, ROM, or shift register via the Quartus® II
MegaWizardTM Plug-In Manager. You can stitch together multiple blocks of the same
type to produce larger memories with minimal timing penalty. TriMatrix memory
provides up to 20,491 Kbits of embedded SRAM at up to 600 MHz operation. This
chapter describes TriMatrix memory blocks, modes, features, and design
considerations.
Overview
Table 4–1 summarizes the features supported by the three sizes of TriMatrix memory.
Same-port read-during-write Outputs set to don’t care Outputs set to old or new Outputs set to old or new
data data
Mixed-port read-during-write Outputs set to old data or Outputs set to old data or Outputs set to old data or
don’t care don’t care don’t care
ECC Support Soft IP support via Quartus II Soft IP support via Quartus II Built-in support in ×64 wide
software software SDP mode or soft IP support
via Quartus II software
Notes to Table 4–1:
(1) In ROM mode, MLABs support the (depth × width) configurations of 64×8, 64×9, 64×10, 32×16, 32×18, or 32× 20.
(2) MLABs support byte-enable via emulation.
Table 4–2 shows the capacity and distribution of the TriMatrix memory blocks for
each Stratix III family member
Table 4–2. TriMatrix Memory Capacity and Distribution in Stratix III Devices
Total Dedicated RAM Bits
M9K M144K (dedicated memory blocks Total RAM Bits (including
Device MLABs Blocks Blocks only) MLABs) (1)
EP3SL50 950 108 6 1,836 Kb 2,133 Kb
EP3SL70 1,350 150 6 2,214 Kb 2,636 Kb
EP3SL110 2,150 275 12 4,203 Kb 4,875 Kb
EP3SL150 2,850 355 16 5,499 Kb 6,390 Kb
EP3SL200 4,000 468 36 9,396 Kb 10,646 Kb
EP3SL340 6,750 1,040 48 16,272 Kb 18,381 Kb
EP3SE50 950 400 12 5,328 Kb 5,625 Kb
EP3SE80 1,600 495 12 6,183 Kb 6,683 Kb
EP3SE110 2,150 639 16 8,055 Kb 8,727 Kb
EP3SE260 5,100 864 48 14,688 Kb 16,282 Kb
Note to Table 4–2:
(1) For total ROM Kbits, use this equation to calculate:
Total ROM Kbits = Total Embedded RAM Kbits + [(number of MLAB blocks × 640)/1024]
1 All the ALMs share the same address bits. Therefore, you cannot combine multiple
memories with different address bits and implement them in a single MLAB.
1 When you are using an MLAB as memory, you will not be able to use the unused
ALMs in the MLAB even if you do not use the full capacity of an MLAB.
Byte-Enable Support
All TriMatrix memory blocks support byte-enables that mask the input data so that
only specific bytes of data are written. The unwritten bytes retain the previous written
value. The write enable (wren) signals, along with the byte-enable (byteena) signals,
control the RAM blocks’ write operations.
1 MLABs support byte-enable via emulation. There will be increased logic utilization
when the byte-enables are emulated.
The default value for the byte-enable signals is high (enabled), in which case writing
is controlled only by the write enable signals. The byte-enable registers have no clear
port. When using parity bits on the M9K and M144K blocks, the byte-enable controls
all nine bits (eight bits of data plus one parity bit). When using parity bits on the
MLAB, the byte-enable controls all 10 bits in the widest mode.
Byte-enables operate in a one-hot fashion, with the LSB of the byteena signal
corresponding to the least significant byte of the data bus. For example, if you are
using a RAM block in ×18 mode, with byteena = 01, data[8..0] is enabled and
data[17..9] is disabled. Similarly, if byteena = 11, both data[8..0] and
data[17..9] are enabled. Byte-enables are active high.
1 You cannot use the byte-enable feature when using the ECC feature on M144K blocks.
Figure 4–1 shows how the write enable (wren) and byte-enable (byteena) signals
control the operations of the M9K and M144K.
When a byte-enable bit is de-asserted during a write cycle, the corresponding data
byte output can appear as either a “don’t care” value or the current data at that
location. The output value for the masked byte is controllable via the Quartus II
software. When a byte-enable bit is asserted during a write cycle, the corresponding
data byte output also depends on the setting chosen in the Quartus II software.
Figure 4–1. Stratix III Byte-Enable Functional Waveform for M9K and M144K
inclock
wren
address an a0 a1 a2 a0 a1 a2
byteena XX 10 01 11 XX
don't care: q (asynch) doutn ABXX XXCD ABCD ABFF FFCD ABCD
current data: q (asynch) doutn ABFF FFCD ABCD ABFF FFCD ABCD
Figure 4–2 shows how the write enable (wren) and byte-enable (byteena) signals
control the operations of the MLABs. The write operation in MLABs is triggered by
failing clock edges.
inclock
wren
address an a0 a1 a2 a0 a1 a2
byteena XX 10 01 11 XX
current data: q (asynch) doutn FFFF ABFF FFFF FFCD FFFF ABCD ABFF FFCD FFCD
Figure 4–3 shows an address clock enable block diagram. The address clock enable is
referred to by the port name addressstall.
1 address[0]
address[0] 0 register address[0]
1 address[N]
address[N]
register
address[N] 0
addressstall
clock
Figure 4–4 shows the address clock enable waveform during the read cycle.
Figure 4–4. Stratix III Address Clock Enable during Read Cycle Waveform
inclock
rdaddress a0 a1 a2 a3 a4 a5 a6
rden
addressstall
latched address
an a0 a1 a4 a5
(inside memory)
Figure 4–5 shows the address clock enable waveform during the write cycle for M9K
and M144K.
Figure 4–5. Stratix III Address Clock Enable during Write Cycle Waveform for M9K and M144K
inclock
a0 a1 a2 a3 a4 a5 a6
wraddress
data 00 01 02 03 04 05 06
wren
addressstall
latched address a1
an a0 a4 a5
(inside memory)
contents at a0 XX 00
contents at a1 XX 01 02 03
contents at a2 XX
contents at a3 XX
contents at a4 XX 04
contents at a5 XX 05
Figure 4–6 shows the address clock enable waveform during the write cycle for
MLABs.
Figure 4–6. Stratix III Address Clock Enable during Write Cycle Waveform for MLABs
inclock
a0 a1 a2 a3 a4 a5 a6
wraddress
data 00 01 02 03 04 05 06
wren
addressstall
latched address a1
an a0 a4 a5
(inside memory)
contents at a0 XX 00
contents at a1 XX 01 02 03
contents at a2 XX
contents at a3 XX
contents at a4 XX 04
contents at a5 XX 05
1 You cannot use the ECC on M144 memory blocks when using the mixed width
support.
Asynchronous Clear
Stratix III M9K and M144K memory blocks support asynchronous clears on the
output latches and output registers. MLABs supports asynchronous clear on the
output registers only as the output is not latched. Therefore, if your M9K and M144K
are not using the output registers, you can still clear the RAM outputs via the output
latch asynchronous clear. The functional waveform in Figure 4–7 shows this
functionality.
outclk
aclr
aclr at latch
You can selectively enable asynchronous clears per logical memory via the Quartus II
RAM MegaWizard Plug-In Manager.
1 Read during write “old data” mode is not supported when ECC is engaged.
Figure 4–8 shows a block diagram of the ECC block of the M144K.
64 64 8 72 72 64
SECDED RAM SECDED Comparator
Data Input
Encoder Array Encoder
8
64 8
8
64
Error Flag
Locator Generator
64 3
Status Flags
Error
Correction
Block
64
Data Output
Memory Modes
Stratix III TriMatrix memory blocks allow you to implement fully synchronous SRAM
memory in multiple modes of operation. M9K and M144K blocks do not support
asynchronous memory (unregistered inputs). MLABs support asynchronous
(flow-through) read operations.
Depending on which TriMatrix memory block you target, the following modes may
be used:
■ Single-port
■ Simple dual-port
■ True dual-port
■ Shift-register
■ ROM
■ FIFO
1 When using the memory blocks in ROM, single-port, simple dual-port, or true
dual-port mode, you can corrupt the memory contents if you violate the setup or
hold-time on any of the memory block input registers. This applies to both read and
write operations.
data[ ]
address[ ]
wren
byteena[]
addressstall q[]
inclock outclock
clockena
rden
aclr
During a write operation, behavior of the RAM outputs is configurable. If you use the
read-enable signal and perform a write operation with the read enable deactivated,
the RAM outputs retain the values they held during the most recent active read
enable. If you activate read enable during a write operation, or if you are not using the
read-enable signal at all, the RAM outputs either show the new data being written,
the old data at that address, or a don’t care value. To choose the desired behavior, set
the read-during-write behavior to either new data, old data, or don’t care in the RAM
MegaWizard Plug-In Manager in the Quartus II software. See “Read During Write”
on page 4–21 for more details on this behavior.
Table 4–4 shows the possible port width configurations for TriMatrix memory blocks
in single-port mode.
Table 4–4. Stratix III Port Width Configurations for MLABs, M9K Blocks, and M144K Blocks
(Single-Port Mode)
Port Width MLABs (1) M9K Blocks M144K Blocks
Port Width 16 × 8 8K×1 16 K × 8
Configurations 16 × 9 4K×2 16 K × 9
16 × 10 2K×4 8 K × 16
16 × 16 1K×8 8 K × 18
16 × 18 1K×9 4 K × 32
16 × 20 512 × 16 4 K × 36
512 × 18 2 K × 64
256 × 32 2 K × 72
256 × 36
Note to Table 4–4:
(1) Configurations of 64 × 8, 64 × 9, 64 × 10, 32 × 16, 32 × 18, and 32 × 20 are supported by stitching multiple MLAB
blocks.
Figure 4–10 shows the timing waveforms for read and write operations in single-port
mode with unregistered outputs for M9K and M144K. In M9K and M144K registering
the RAM’s outputs would simply delay the q output by one clock cycle.
Figure 4–10. Timing Waveform for Read-Write Operations (Single-Port Mode) for M9K and M144K
clk_a
wrena
rdena
address_a a0 a1
data_a A B C D E F
Figure 4–11 shows the timing waveforms for read and write operations in single-port
mode with unregistered outputs for MLABs. For MLABs, the read operation is
triggered by the rising clock edges whereas the write operation is triggered by the
falling clock edges.
Figure 4–11. Timing Waveform for Read-Write Operations (Single-Port Mode) for MLABs
clk_a
wrena
rdena
address_a a0 a1
data_a A B C D E F
a0 a1
q_a (asynch) (old data)
A B C D E
(old data)
data[ ] rdaddress[ ]
wraddress[ ] rden
wren q[ ]
byteena[] rd_addressstall
wr_addressstall rdclock
wrclock rdclocken
wrclocken ecc_status
aclr
Simple dual-port mode supports different read and write data widths (mixed width
support). Table 4–5 shows the mixed width configurations for the M9K blocks in
simple dual-port mode. MLABs do not have native support for mixed width
operation. The Quartus II software can implement mixed width memories in MLABs
by using more than one MLAB.
Table 4–5. Stratix III M9K Block Mixed-Width Configurations (Simple Dual-Port Mode)
Write Port
Read Port 8K×1 4K×2 2K×4 1K×8 512×16 256×32 1K×9 512×18 256×36
8K×1 v v v v v v — — —
4K×2 v v v v v v — — —
2K×4 v v v v v v — — —
1K×8 v v v v v v — — —
512×16 v v v v v v — — —
256×32 v v v v v v — — —
1K×9 — — — — — — v v v
512×18 — — — — — — v v v
256×36 — — — — — — v v v
Table 4–6 shows the mixed width configurations for the M144K blocks in simple
dual-port mode.
Table 4–6. Stratix III M144K Block Mixed-Width Configurations (Simple Dual-Port Mode)
Write Port
Read Port 16K×8 8K×16 4K×32 2K×64 16K×9 8K×18 4K×36 2K×72
16K×8 v v v v — — — —
8K×16 v v v v — — — —
4K×32 v v v v — — — —
2K×64 v v v v — — — —
16K×9 — — — — v v v v
8K×18 — — — — v v v v
4K×36 — — — — v v v v
2K×72 — — — — v v v v
In simple dual-port mode, M9K and M144K blocks support separate write-enable and
read-enable signals. You can save power by keeping the read-enable signal low
(inactive) when not reading. Read-during-write operations to the same address can
either output a don’t care value or old data. To choose the desired behavior, set the
read-during-write behavior to either don’t care or old data in the RAM MegaWizard
Plug-In Manager in the Quartus II software. See “Read During Write” on page 4–21
for more details about this behavior.
MLABs only support a write-enable signal. Read-during-write behavior for the
MLABs can be either don’t care, new data, or old data. The available choices depend
on the configuration of the MLAB.
Figure 4–13 shows the timing waveforms for read and write operations in simple
dual-port mode with unregistered outputs in M9K and M144K. Registering the
RAM’s outputs would simply delay the q output by one clock cycle in M9k and
M144K.
Figure 4–13. Stratix III Simple Dual-Port Timing Waveforms for M9K and M144K
wrclock
wren
wraddress an-1 an a0 a1 a2 a3 a4 a5 a6
rdclock
rden
rdaddress bn b0 b1 b2 b3
Figure 4–14 shows the timing waveforms for read and write operations in simple
dual-port mode with unregistered outputs in MLABs. In MLABs, the write operation
is triggered by the falling clock edges.
Figure 4–14. Stratix III Simple Dual-Port Timing Waveforms for MLABs
wrclock
wren
wraddress an-1 an a0 a1 a2 a3 a4 a5 a6
rdclock
rden
rdaddress bn b0 b1 b2 b3
data_a[ ] data_b[ ]
address_a[ ] address_b[]
wren_a wren_b
byteena_a[] byteena_b[]
addressstall_a addressstall_b
clock_a clock_b
rden_a rden_b
aclr_a aclr_b
q_a[] q_b[]
The widest bit configuration of the M9K and M144K blocks in true dual-port mode is
as follows:
■ 512 × 16-bit (×18-bit with parity) (M9K)
■ 4K × 32-bit (×36-bit with parity) (M144K)
Wider configurations are unavailable because the number of output drivers is
equivalent to the maximum bit width of the respective memory block. Because true
dual-port RAM has outputs on two ports, its maximum width equals half of the total
number of output drivers. Table 4–7 lists the possible M9K block mixed-port width
configurations in true dual-port mode.
Table 4–7. Stratix III M9K Block Mixed-Width Configuration (True Dual-Port Mode)
Write Port
Table 4–8 lists the possible M144K block mixed-port width configurations in true
dual-port mode.
Table 4–8. Stratix III M144K Block Mixed-Width Configurations (True Dual-Port Mode)
Write Port
In true dual-port mode, M9K and M144K blocks support separate write-enable and
read-enable signals. You can save power by keeping the read-enable signal low
(inactive) when not reading. Read-during-write operations to the same address can
either output new data at that location or old data. To choose the desired behavior, set
the read-during-write behavior to either new data or old data in the RAM
MegaWizard Plug-In Manager in the Quartus II software. See “Read During Write”
on page 4–21 for more details about this behavior.
In true dual-port mode you can access any memory location at any time from either
port. When accessing the same memory location from both ports, you must avoid
possible write conflicts. A write conflict happens when you attempt to write to the
same address location from both ports at the same time. This results in unknown data
being stored to that address location. No conflict resolution circuitry is built into the
Stratix III TriMatrix memory blocks. You must handle address conflicts external to the
RAM block.
Figure 4–16 shows the true dual-port timing waveforms for the write operation at
port A and read operation at port B with the Read-During-Write behavior set to new
data. Registering the RAM’s outputs would simply delay the q outputs by one clock
cycle.
clk_a
wren_a
address_a an-1 an a0 a1 a2 a3 a4 a5 a6
q_a (asynch) din-1 din dout0 dout1 dout2 dout3 din4 din5
clk_b
wren_b
address_b bn b0 b1 b2 b3
Shift-Register Mode
All Stratix III memory blocks support shift register mode. Embedded memory block
configurations can implement shift registers for digital signal processing (DSP)
applications, such as finite impulse response (FIR) filters, pseudo-random number
generators, multi-channel filtering, and auto- and cross-correlation functions. These
and other DSP applications require local data storage, traditionally implemented with
standard flipflops that quickly exhaust many logic cells for large shift registers. A
more efficient alternative is to use embedded memory as a shift-register block, which
saves logic cell and routing resources.
The size of a shift register (w × m × n) is determined by the input data width (w), the
length of the taps (m), and the number of taps (n). You can cascade memory blocks to
implement larger shift registers.
w × m × n Shift Register
W W
W W
n Number of Taps
W W
W W
ROM Mode
All Stratix III TriMatrix memory blocks support ROM mode. A .mif file initializes the
ROM content of these blocks. The address lines of the ROM are registered on M9K
and M144K blocks, but can be unregistered on MLABs. The outputs can be registered
or unregistered. Output registers can be asynchronously cleared. The ROM read
operation is identical to the read operation in the single-port RAM configuration.
FIFO Mode
All TriMatrix memory blocks support FIFO mode. MLABs are ideal for designs with
many small, shallow FIFO buffers. To implement FIFO buffers in your design, use the
Quartus II software FIFO MegaWizard Plug-In Manager. Both single and dual-clock
(asynchronous) FIFOs are supported.
f For more information about implementing FIFO buffers, refer to the Single- and
Dual-Clock FIFO Megafunctions User Guide.
Clocking Modes
Stratix III TriMatrix memory blocks support the following clocking modes:
■ Independent
■ Input/output
■ Read/write
■ Single clock
1 Violating the setup or hold time on the memory block address registers could corrupt
the memory contents. This applies to both read and write operations.
1 Altera recommends using a memory block clock that comes through global clock
routing from an on-chip PLL set to 50% output duty cycle to achieve the maximum
memory block performance. Use Quartus II to report timing for this and other
memory block clocking schemes.
f For more information refer to the Stratix III Device Family Errata Sheet.
Table 4–9 shows the clocking mode versus memory mode support matrix.
Design Considerations
This section describes guidelines for designing with TriMatrix memory blocks.
f For more information about register packing, refer to the Logic Array Blocks and
Adaptive Logic Modules in Stratix III Devices chapter in volume 1 of the Stratix III Device
Handbook.
Conflict Resolution
When using the memory blocks in true dual-port mode, it is possible to attempt two
write operations to the same memory location (address). Since no conflict resolution
circuitry is built into the memory blocks, this results in unknown data being written to
that location. Therefore, you must implement conflict resolution logic external to the
memory block to avoid address conflicts.
Port A Port B
data in data in
Mixed-port
data flow
Same-port
data flow
Port A Port B
data out data out
clk_a
address 0A 0B
rdena
wrena
bytenna 01 10 00 11
clk_a
address A0 A1
rdena
wrena
bytenna 01 10 00 11
q_a (asyn) A0 (old data) DoldDold23 B423 A1(old data) DDDD EEEE
1 For more details about how to implement the desired behavior, read-during-write
behavior is controlled via the RAM MegaWizard Plug-In Manager refer to the RAM
Megafunction User Guide.
You should select don’t care mode if you do not use old data mode. This increases the
flexibility in the type of memory block used, if you do not assign block type when
instantiating a memory block. You may also get potential performance gain by
selecting don’t care mode.
Figure 4–21 shows a sample functional waveform of mixed-port read-during-write
behavior for the old data mode. In don’t care mode, the old data shown in the figure is
simply replaced with “don’t cares”.
Figure 4–21. Mixed Port Read During Write: Old Data Mode (Note 1)
clk_a&b
wrena
address_a A0 A1
bytenna 11 01 10 11
rdenb
address_b A0 A1
f For more information about .mif files, refer to the RAM Megafunction User Guide and
the Quartus II Handbook.
Power Management
Stratix III memory block clock-enables allow you to control clocking of each memory
block to reduce AC power consumption. Use the read-enable signal to ensure that
read operations only occur when you need them to. If your design does not require
read-during-write, you can reduce your power consumption by de-asserting the
read-enable signal during write operations, or any period when no memory
operations occur.
The Quartus II software automatically places any unused memory blocks in low
power mode to reduce static power.
f For the list of devices that is affected by the write speed decrease for M144K blocks
refer to the Stratix III Device Family Errata Sheet.
Conclusion
The Stratix III TriMatrix embedded memory structure provides three different on-chip
RAM block sizes to address your design needs. All memory blocks are fully
customizable and can be cascaded to implement wider or deeper memories with
minimal speed penalty.
You can independently configure each embedded memory block to be a single- or
dual-port RAM, FIFO, ROM, or shift register via the Quartus II MegaWizard Plug-In
Manager software.
SIII51005-1.7
Introduction
The Stratix ® III family of devices have dedicated high-performance digital signal
processing (DSP) blocks optimized for DSP applications. These DSP blocks of the
Altera® Stratix device family are the third generation of hardwired, fixed function
silicon blocks dedicated to maximizing signal processing capability, ease of use, and
lowest silicon cost.
Many complex systems such as WiMAX, 3GPP WCDMA, high-performance
computing (HPC), voice over Internet protocol (VoIP), H.264 video compression,
medical imaging, and HDTV use sophisticated digital signal processing techniques,
and this typically requires a large number of mathematical computations. Stratix III
devices are ideally suited as the DSP blocks consist of a combination of dedicated
elements that perform multiplication, addition, subtraction, accumulation,
summation, and dynamic shift operations. Along with the high-performance
Stratix III soft logic fabric and TriMatrix™ memory structures, you can configure
these blocks to build sophisticated fixed-point and floating-point arithmetic functions.
These can be manipulated easily to implement common larger computationally
intensive subsystems such as finite impulse response (FIR) filters, complex FIR filters,
infinite impulse response (IIR) filters, fast Fourier transform (FFT) functions, and
discrete cosine transform (DCT) functions.
9×9 12 × 12 18 × 18 18 × 18 36 × 36
18 × 18 18 × 36
Multipliers Multipliers Multipliers Complex Multipliers
EP3SL50 27 216 162 108 54 54 216 108
EP3SL70 36 288 216 144 72 72 288 144
EP3SL110 36 288 216 144 72 72 288 144
Stratix III
EP3SL150 48 384 288 192 96 96 384 192
Logic
EP3SL200 72 576 432 288 144 144 576 288
EP3SE260 96 768 576 384 192 192 768 384
EP3SL340 72 576 432 288 144 144 576 288
EP3SE50 48 384 288 192 96 96 384 192
EP3SE80 84 672 504 336 168 168 672 336
Stratix III
Enhanced EP3SE110 112 896 672 448 224 224 896 448
EP3SE260
96 768 576 384 192 192 768 384
(1)
Note to Table 5–1:
(1) The EP3SE260 device is rich in LE, memory, and multiplier resources. Hence, it aligns with both logic (L) and enhanced (E) variants.
Table 5–1 lists that the largest Stratix III DSP centric device (EP3SE110) provides up to
896 18 × 18 multiplier functionality in the 36 × 36, complex 18 × 18, and summation
modes.
Each DSP block occupies four LAB blocks in height and can be divided further into
two half-blocks that share some common clock signals, but are for all common
purposes identical in functionality. The layout of each block is shown in Figure 5–1.
1 The Stratix III DSP block input data lines of 288-bits are double that of Stratix and
Stratix II, but the number of output data lines remains at 144 bits.
34
Control
144 72 Output
Half-DSP Block Data
Input 288
Data
144 72
Output
Half-DSP Block
Data
A0[17..0]
B0[17..0]
+/− P[36..0]
A1[17..0] D Q
B1[17..0] D Q
The structure shown in Figure 5–2 is very useful for building more complex
structures, such as complex multipliers and 36 × 36 multipliers, as described in later
sections.
Each Stratix III DSP block contains four Two-Multiplier Adder units (two
Two-Multiplier Adder units per half-block). Therefore, there are eight 18 × 18
multiplier functionalities per DSP block.
Following the Two-Multiplier Adder units are the pipeline registers, the second-stage
adders, and an output register stage. You can configure the second-stage adders to
provide the following alternative functions per Half-Block:
Equation 5–2. Four-Multiplier Adder Equation
Z[37..0] = P0[36..0] + P1[36..0]
In these equations, n denotes sample time, and P[36..0] are the results from the
Two-Multiplier Adder units.
Equation 5–2 provides a sum of four 18-bit × 18-bit multiplication operations
(Four-Multiplier Adder), and Equation 5–3 provides a four 18-bit × 18-bit
multiplication operation but with maximum of a 44-bit accumulation capability by
feeding the output of the unit back to itself. This is shown in Figure 5–3.
You can bypass all register stages depending on which mode you select.
144 44
Accumulator
Input
Result
Adder/
Data
+
Half-DSP Block
44
Round/Saturate
Accumulator
144 44
Input
Adder/
Data
+ Result
+
Also shown in Figure 5–4 is the optional Rounding and Saturation Unit (RSU). This
unit provides a rich set of commonly found arithmetic round and saturation functions
used in signal processing.
In addition to the independent multipliers and sum modes, you can use the DSP
blocks to perform shift operations. The DSP block can dynamically switch between
logical shift left/right, arithmetic shift left/right, and rotation operation in one clock
cycle.
A top-level view of the Stratix III DSP block is shown in Figure 5–5. A more detailed
diagram is shown in Figure 5–6.
44
Adder/Accumulator
Round/Saturate
144
Input
Data
+ Result
44
+
Pipeline Register Bank
Adder/Accumulator
Round/Saturate
144
Input
Data
+ Result
The DSP block consists of two identical halves (top-half and bottom-half). Each half
has four 18 × 18 multipliers.
The Quartus® II software includes megafunctions used to control the mode of
operation of the multipliers. After making the appropriate parameter settings using
the megafunction’s MegaWizardTM Plug-In Manager, the Quartus II software
automatically configures the DSP block.
Stratix III DSP blocks can operate in different modes simultaneously. Each half-block
is fully independent except for the sharing of the four clock, ena, and aclr signals.
For example, you can break down a single DSP block to operate a 9 × 9 multiplier in
one Half-Block and an 18 × 18 two-multiplier adder in the other Half-Block. This
increases DSP block resource efficiency and allows you to implement more
multipliers within a Stratix III device. The Quartus II software automatically places
multipliers that can share the same DSP block resources within the same block.
dataa_0[ ]
First Stage Adder
loopback
datab_0[ ]
Second Adder Register Bank
dataa_1[ ]
Second Stage Adder/Accumulator
Second Round/Saturate
Chainout Adder
First Round/Saturate
Shift/Rotate
Multiplexer
datab_1[ ]
result[ ]
dataa_2[ ] (4)
First Stage Adder
datab_2[ ]
dataa_3[ ]
datab_3[ ]
Half-DSP Block
scanouta chainout
Input Registers
All of the DSP block registers are triggered by the positive edge of the clock signal and
are cleared upon power up. Each multiplier operand can feed an input register or
directly to the multiplier, bypassing the input registers. (This is configured at compile
time.) The following DSP block signals control the input registers within the DSP
block:
■ clock[3..0]
■ ena[3..0]
■ aclr[3..0]
Every DSP block has nine 18-bit data input register banks per half DSP block. Every
half DSP block has the option to use the eight data register banks as inputs to the four
multipliers. The special ninth register bank is a delay register required by modes that
use both the cascade and chainout features of the DSP block and is for balancing the
latency requirements when using the chained cascade feature.
A feature of the input register bank is to support a tap delay line. Therefore, the top
leg of the multiplier input (A) could be driven from general routing or from the
cascade chain, as shown in Figure 5–7.
clock[3..0]
ena[3..0] signa
aclr[3..0] signb
scanina[17..0]
dataa_0[17..0]
loopback
datab_0[17..0]
+/−
dataa_1[17..0]
datab_1[17..0]
dataa_2[17..0]
datab_2[17..0]
+/−
dataa_3[17..0]
datab_3[17..0]
Delay
Register
You must select whether the A-input comes from general routing or from the cascade
chain at compile time. In cascade mode, the dedicated shift outputs from one
multiplier block directly feeds input registers of the adjacent multiplier below it
(within the same half DSP block) or the first multiplier in the next half DSP block, to
form an 8-tap shift register chain per DSP Block. The DSP block can increase the
length of the shift register chain by cascading to the lower DSP blocks. The dedicated
shift register chain spans a single column, but you can implement longer shift register
chains requiring multiple columns using the regular FPGA routing resources.
Shift registers are useful in DSP functions such as FIR filters. When implementing
18 × 18 or smaller width multipliers, you do not need external logic to create the shift
register chain because the input shift registers are internal to the DSP block. This
implementation significantly reduces the logical element (LE) resources required,
avoids routing congestion, and results in predictable timing.
The first multiplier in every half DSP block (top- and bottom-half) in Stratix III
devices has a multiplexer for the first multiplier B-input (lower-leg input) register to
select between general routing and loopback, as shown in Figure 5–6. In loopback
mode, the most significant 18-bit registered outputs are connected as feedback to the
multiplier input of the first top multiplier in each half DSP block. Loopback modes are
used by recursive filters where the previous output is needed to compute the current
output.
The loopback mode is described in detail in “Two-Multiplier Adder Sum Mode” on
page 5–21.
Table 5–3 lists the input register modes for the DSP block.
Each Half Block has its own signa and signb signal. Therefore, all of the data A
inputs feeding the same DSP Half Block must have the same sign representation.
Similarly, all of the data B inputs feeding the same DSP Half Block must have the
same sign representation. The multiplier offers full precision regardless of the sign
representation in all operational modes except for full precision 18 x 18 loopback and
Two-Multiplier Adder modes. Refer to “Two-Multiplier Adder Sum Mode” on
page 5–21 for details.
1 When the signa and signb signals are unused, the Quartus II software sets the
multiplier to perform unsigned multiplication by default.
The outputs of the multipliers are the only outputs that can feed into the first-stage
adder, as shown in Figure 5–6. There are four first-stage adders in a DSP block (two
adders per half DSP block). The first-stage adder block has the ability to perform
addition and subtraction. The control signal for addition or subtraction is static and
has to be configured upon compile time. The first-stage adders are used by the sum
modes to compute the sum of two multipliers, 18 × 18-complex multipliers, and to
perform the first stage of a 36 × 36 multiply and shift operation.
Depending on your specifications, the output of the first-stage adder has the option to
feed into the pipeline registers, second-stage adder, round and saturation unit, or the
output registers.
Second-Stage Adder
There are four individual 44-bit second-stage adders per DSP block (2 adders per half
DSP block). You can configure the second-stage adders as follows:
■ The final stage of a 36-bit multiplier
■ A sum of four (18 × 18)
■ An accumulator (44-bits maximum)
■ A chained output summation (44-bits maximum)
1 The chained-output adder can be used at the same time as a second-level adder in
chained output summation mode.
1 The output of the second-stage adder has the option to go into the round and
saturation logic unit or the output register.
1 You cannot use the second-stage adder independently from the multiplier and
first-stage adder.
1 You can use the round and saturation logic units together or independently.
The second-stage and output registers are triggered by the positive edge of the clock
signal and are cleared on power up. The following DSP block signals control the
output registers within the DSP block:
■ clock[3..0]
■ ena[3..0]
■ aclr[3..0]
18
Round/Saturate
dataa_0[17..0]
36
result_0[ ]
Pipeline Register Bank
18
datab_0[17..0]
18
dataa_1[17..0]
Round/Saturate
36
result_1[ ]
18
datab_1[17..0]
Half-DSP Block
clock[3..0]
ena[3..0] signa
aclr[3..0] signb
12
dataa_0[11..0]
24
result_0[ ]
12
datab_0[11..0]
24
result_1[ ]
12
datab_1[11..0]
12
dataa_2[11..0]
24
result_2[ ]
12
datab_2[11..0]
Half-DSP Block
clock[3..0]
ena[3..0] signa
aclr[3..0] signb
9
dataa_0[8..0]
18
result_0[ ]
9
datab_0[8..0]
9
dataa_1[8..0]
18
result_1[ ]
9
datab_1[8..0]
9
dataa_2[8..0]
18
result_2[ ]
9
datab_2[8..0]
9
dataa_3[8..0]
18
result_3[ ]
9
datab_3[8..0]
Half-DSP Block
1 The round and saturation logic unit is supported for the 18-bit independent multiplier
mode only.
36-Bit Multiplier
You can efficiently construct a 36 × 36 multiplier using four 18 × 18 multipliers. This
simplification fits conveniently into one half-DSP block, and is implemented in the
DSP block automatically by selecting the 36 × 36 mode. Stratix III devices can have up
to two 36-bit multipliers per DSP block (one 36-bit multiplier per half DSP block). The
36-bit multiplier is also under the independent multiplier mode but uses the entire
half DSP block, including the dedicated hardware logic after the pipeline registers to
implement the 36 × 36 bit multiplication operation. This is shown in Figure 5–11.
The 36-bit multiplier is useful for applications requiring more than 18-bit precision;
for example, for the mantissa multiplication portion of single precision and extended
single precision floating-point arithmetic applications.
clock[3..0]
ena[3..0] signa
aclr[3..0] signb
dataa_0[35..18]
datab_0[35..18]
+
dataa_0[17..0]
Pipeline Register Bank
datab_0[35..18] 72
+ result[ ]
dataa_0[35..18]
datab_0[17..0]
+
dataa_0[17..0]
datab_0[17..0]
Half-DSP Block
Double Multiplier
The Stratix III DSP block can be configured to efficiently support an unsigned 54 × 54
bit multiplier that is required to compute the mantissa portion of an IEEE double
precision floating point multiplication. A 54 × 54 bit multiplier can be built using basic
18 × 18 multipliers, shifters, and adders. In order to efficiently utilize the Stratix III
DSP block's built in shifters and adders, a special Double mode (partial 54 × 54
multiplier) is available that is a slight modification to the basic 36 × 36 Multiplier
mode. This is shown in Figure 5–12 and Figure 5–13.
clock[3..0]
ena[3..0] signa
aclr[3..0] signb
dataa_0[35..18]
datab_0[35..18]
+
dataa_0[17..0]
Pipeline Register Bank
datab_0[35..18] 72
+ result[ ]
dataa_0[35..18]
datab_0[17..0]
+
dataa_0[17..0]
datab_0[17..0]
Half-DSP Block
Two Multiplier
"0" Adder Mode
"0" 36
+
dataa[53..36]
datab[53..36]
datab[53..36]
dataa[17..0]
Shifters and Adders
datab[35..18]
dataa[53..36]
datab[17..0]
dataa[35..18] 36 x 36 Mode
datab[35..18]
dataa[17..0]
Shifters and Adders
72
datab[35..18]
dataa[35..18]
datab[17..0]
dataa[17..0]
datab[17..0]
Unsigned 54 X 54 Multiplier
1 The option to use the loopback mode or the general two-multiplier adder mode must
be selected at compile time.
For the Two-Multiplier Adder mode, if all the inputs are full 18-bit and unsigned, the
result will require 37 bits. As the output data width in Two-Multiplier Adder mode is
limited to 36 bits, this 37-bit output requirement is not allowed. Any other
combination that does not violate the 36-bit maximum result is permitted; for
example, two 16 × 16 signed Two-Multiplier Adders is valid.
The two-multiplier adder mode supports the round and saturation logic unit. You can
use the pipeline registers and output registers within the DSP block to pipeline the
multiplier-adder result, increasing the performance of the DSP block.
dataa_0[17..0]
Round/Saturate
datab_0[17..0]
+ result_0[ ]
dataa_1[17..0]
datab_1[17..0]
dataa_2[17..0]
Round/Saturate
datab_2[17..0]
+ result_1[ ]
dataa_3[17..0]
datab_3[17..0]
Half-DSP Block
dataa_0[17..0]
loopback
Round/Saturate
datab_0[17..0] + result[ ]
dataa_1[17..0]
datab_1[17..0]
Half-DSP Block
18 × 18 Complex Multiply
You can configure the DSP block when used in Two-Multiplier Adder mode to
implement complex multipliers using the two-multiplier adder mode. A single half
DSP block can implement one 18-bit complex multiplier.
A complex multiplication can be written as shown in Equation 5–4.
Equation 5–4. Complex Multiplication Equation
(a + jb) × (c + jd) = ((a × c) – (b × d)) + j((a × d) + (b × c))
To implement this complex multiplication within the DSP block, the real part
((a × c) – (b × d)) is implemented using two multipliers feeding one subtractor block
while the imaginary part ((a × d) + (b × c)) is implemented using another two
multipliers feeding an adder block. Figure 5–16 shows an 18-bit complex
multiplication. This mode automatically assumes all inputs are using signed
numbers.
B
36
(A x C) − (B x D)
− (Real Part)
C
Pipeline Register Bank
36
(A x D) − (B x C)
+ (Imaginary Part)
Half-DSP Block
Four-Multiplier Adder
In the four-multiplier adder configuration shown in Figure 5–17, the DSP block can
implement two four-multiplier adders (one four-multiplier adder per half DSP block).
These modes are useful for implementing one-dimensional and two-dimensional
filtering applications. The four-multiplier adder is performed in two addition stages.
The outputs of two of the four multipliers are initially summed in the two first-stage
adder blocks. The results of these two adder blocks are then summed in the
second-stage adder block to produce the final four-multiplier adder result, as shown
by Equation 5–2 and Equation 5–3.
dataa_0[ ]
datab_0[ ]
+
dataa_1[ ]
Pipeline Register Bank
Round/Saturate
datab_1[ ]
+ result[ ]
dataa_2[ ]
datab_2[ ]
+
dataa_3[ ]
datab_3[ ]
Half-DSP Block
The four-multiplier adder mode supports the round and saturation logic unit. You can
use the pipeline registers and output registers within the DSP block to pipeline the
multiplier-adder result, increasing the performance of the DSP block.
dataA[17..0]
dataB[17..0]
dataA[17..0] P0
<<18
Round/Saturate
dataB[35..18]
Z[54..0]
dataC[17..0]
dataD[17..0]
dataC[17..0] P1
<<18
dataD[35..0]
Half-DSP Block
dataa_0[ ]
datab_0[ ]
+
dataa_1[ ]
Second Register Bank
Pipeline Register Bank
Round/Saturate
datab_1[ ]
44
+ result[ ]
dataa_2[ ]
datab_2[ ]
+
dataa_3[ ]
datab_3[ ]
Half-DSP Block
1 The control signal for the accumulator and subtractor is static and therefore has to be
configured at compile time.
This mode supports the round and saturation logic unit as it is configured as an 18-bit
multiplier accumulator. You can use the pipeline registers and output registers within
the DSP block to increase the performance of the DSP block.
Shift Modes
Stratix III devices support the following shift modes for 32-bit input only:
■ Arithmetic shift left, ASL[N]
■ Arithmetic shift right, ASR[32-N]
■ Logical shift left, LSL[N]
■ Logical shift right, LSR[32-N]
■ 32-bit rotator or Barrel shifter, ROT[N]
1 You can switch the shift mode between these modes using the dynamic rotate and
shift control signals.
The shift mode in a Stratix III device can be easily used by the soft embedded
processor such as Nios® II to perform the dynamic shift and rotate operation.
Figure 5–20 shows the shift mode configuration.
The shift mode makes use of the available multipliers to logically or arithmetically
shift left, right, or rotate the desired 32-bit data. The DSP block is configured like the
independent 36-bit multiplier mode to perform the shift mode operations.
The arithmetic shift right requires signed input vector. During arithmetic shift right,
the sign is extended to fill the MSB of the 32-bit vector. The logical shift right uses
unsigned input vector. During logical shift right, zeros are padded in the most
significant bits shifting the 32-bit vector to the right. The barrel shifter uses unsigned
input vector and implements a rotation function on a 32-bit word length.
Two control signals rotate and shift_right together with the signa and signb
signals, determining the shifting operation. Examples of shift operations are listed in
Table 5–5 on page 5–31.
signa
clock[3..0] signb
ena[3..0] rotate
aclr[3..0] shift_right
dataa_0[35..18]
datab_0[35..18]
+
dataa_0[17..0]
datab_0[35..18]
Shift/Rotate
32
result[ ]
dataa_0[35..18] +
datab_0[17..0]
+
dataa_0[17..0]
datab_0[17..0]
Half-DSP Block
Stratix III devices have up to 16 configurable bit positions out of the 44-bit bus
([43:0]) for the round and saturate logic unit providing higher flexibility. You must
select the 16 configurable bit positions at compile time. These 16-bit positions are
located at bits [21:6] for rounding and [43:28] for saturation, as shown in
Figure 5–21.
43 42 29 28 1 0
43 42 21 20 7 6 0
1 For symmetric saturation, the RND bit position is also used to determine where the
LSP for the saturated data is located.
You can use the rounding and saturation function described above in regular
supported multiplication operations as specified in Table 5–2. However, for
accumulation type operations, the following convention is used.
The functionality of the round logic unit is in the format of:
Result = RND[S(A × B)], when used for an accumulation type of operation.
Likewise, the functionality of the saturation logic unit is in the format of:
Result = SAT[S(A × B)], when used for an accumulation type of operation.
If both the round and saturation logic units are used for an accumulation type of
operation, the format is:
Result = SAT[RND[S(A × B)]]
Application Examples
FIR Example
A finite impulse response filter is a common function used in many systems to
perform spectral manipulations. The basic form is shown in Equation 5–6.
Equation 5–6. Finite Impulse Response Filter Equation
N–1
In this equation, x(n) is the input samples to the filter, c(k) are the filter coefficients,
and y(n) are the filtered output samples. Typically, the coefficients do not change in
time in most applications such as Digital Down Converters (DDC). FIR filters can be
implemented in many forms, the most simple being the tap-delay line approach.
Stratix III DSP block can implement various types of FIR filters very efficiently. To
form the tap-delay line, the input register stage of the DSP block has the ability to
cascade the input in a chained fashion in 18-bit wide format. Unlike the Stratix II DSP
block, which has two built-in parallel input register scan paths, Stratix III supports
only one built-in 18-bit parallel input register scan path for 288 data input.
For a pair of 18-bit input buses, the A input for the first 18-bit bus is fed back to be
registered again at the input of the second (lower) pair of inputs. Refer to Figure 5–22
for details.
The B input of the multiplier feeds from the general routing. You can scan in the data
in 18-bit parallel form and multiply it by the 18-bit input bus from general routing in
each cycle.
Normally in a FIR filter, the fixed data input (from general routing and not from
cascade) is the constant that needs to be multiplied by the cascaded input. In 18-bit
mode, the DSP block has enough input registers to register the general routing signals
and the cascaded signal buses before multiplying them. This makes having eight taps
for an 18-bit cascade mode possible. Each tap can be considered a single multiplier. If
all eight multiplier inputs for the full DSP block are cascaded in a parallel scan chain,
an eight-tap FIR filter is created, as shown in Figure 5–22.
The DSP block can be concatenated to have more than eight taps by enabling the
option to output the parallel scan chain to the next (lower) DSP block. Likewise, the
output of previous (above) cascade chain is used as an input to the current block. The
first (top) multiplier in each half block will have the option to select the 18-bit cascade
chain input from the regular routing or from the previous (above) cascade chain. Also,
the last cascaded chain in each half DSP block can exit the DSP block by routing the
cascade chain after the last (fourth from top) input register to the output routing
channel, bypassing both the pipeline and output registers. This concatenation allows
the user to easily construct their desired filter length.
You can use the Four-Multiplier Adder mode with one of the inputs to each multiplier
being in a form of chained cascaded input from the previous (above) register. This is
very similar to the regular Four-Multiplier Adder with the difference being that not all
the inputs are from general routing.
For a complete FIR, the results per individual Four-Multiplier Adder can be combined
in either a tree or chained cascade manner. Using external logic and adders, you can
very easily implement a tree summation, as shown in Figure 5–22.
Figure 5–22. FIR Filter Using Tap-Delay Line Input and Tree Summation of Final Result
signa
clock[3..0] signb
ena[3..0] output_round
aclr[3..0] output_saturate
overflow
dataa_0[ ]
datab_0[17..0]
+
datab_3[17..0]
datab_4[17..0]
+
Pipeline Register Bank
datab_5[17..0]
+
datab_6[17..0]
+
datab_7[17..0]
For faster and more efficient chained cascade summation, the DSP block can
implement the chainout function in the cascade mode. This mode uses the
second-stage 44-bit adder to add the current Four-Multiplier Adder of the half DSP
block to the adjacent half DSP block of the Four-Multiplier Adder as shown in
Figure 5–23.
This scheme is possible because each half DSP block has two second-stage adders.
One of the two second-stage adders is used to add the current Four-Multiplier Adder.
The second second-stage adder takes the output of the first second-stage adder and
adds it to the adjacent half DSP block of the Four-Multiplier Adder result.
In Figure 5–23, the adder that adds the adjacent half DSP block to the current
Four-Multiplier Adder is shown as the chainout adder for clarity. This scheme is used
to chain and add multiple DSP blocks together. The output of the chainout adder can
be registered. The registered chainout output can feed the lower adjacent DSP block
for a chainout summation or it can feed general FPGA routing. The chainout result
can be zeroed out by applying logic 1 on the dynamic zerochainout signal. The
zerochainout signal can also be registered.
Figure 5–23. FIR Filter using Tap-Delay Line Input and Chained Cascade Summation of Final Result
signa
clock[3..0] signb
ena[3..0] chainout_round
aclr[3..0] chainout_saturate
zero_chainout chainout_sat_overflow
dataa_0[ ]
datab_0[17..0]
+ Zero
Pipeline Register Bank
Round/Saturate
+ +
datab_2[17..0]
+
datab_3[17..0]
datab_4[17..0]
+
Pipeline Register Bank
datab_5[17..0]
+ + result[ ]
datab_6[17..0]
+
datab_7[17..0]
Half-DSP Block
When you use both the input cascade and chainout features, the DSP block uses an
18-bit delay register in the boundary of each half-DSP block or from block-to-block to
synchronize the input scan chain data with the chainout data. The top half computes
the sum of product and chains the output to the next block after the output register.
The output register uses the delay register to delay the cascade input by one clock
cycle to compensate the latency for the bottom half.
For applications in which the system clock is slower than the speed of the DSP block,
the multipliers can be time-multiplexed to improve efficiency. This makes
multi-channel and semi-parallel FIR structures possible. The structure to achieve this
is similar to Figure 5–22 and Figure 5–23. The main difference is that the input cascade
chain is no longer used and each half-DSP block is used in Four-Multiplier Mode with
independent inputs. Figure 5–24 shows an example for chained cascaded summation.
In most cases, only the final stage FIR tap with the rounding and saturation unit is
deployed.
dataa_0[ ]
datab_0[ ]
+
Zero
dataa_1[ ]
Round/Saturate
+ +
dataa_2[ ]
datab_2[ ]
+
dataa_3[ ]
datab_3[ ]
Half-DSP Block
44
dataa_4[ ]
datab_4[ ]
+
dataa_5[ ]
Pipeline Register Bank
datab_5[ ]
+ + result[ ]
dataa_6[ ]
datab_6[ ]
+
dataa_7[ ]
datab_7[ ]
Half-DSP Block
FFT Example
The Fast Fourier Transform (FFT) is a very common DSP function used to convert
samples in the time domain to and from the frequency domain. A fundamental
building block of the FFT is the FFT butterfly. FFTs are most efficient when operating
on complex samples. You can use the Stratix III DSP block to form the core of a
complex FFT butterfly very efficiently.
In Figure 5–25, a radix-4 butterfly is shown. Each butterfly requires three complex
multipliers. This can be implemented in Stratix III using three half-DSP blocks
assuming that the data and twiddle wordlengths are 18 bits or fewer.
G[k,1] H[k,1]
RAM RAM
X[k,1] BFPU
A1 A1
SW
SW
G[k,2] H[k,2]
RAM RAM
X[k,2] BFPU
A2 A2
G[k,3] H[k,3]
RAM RAM
X[k,3] BFPU
A3 A3
Software Support
Altera provides two distinct methods for implementing various modes of the DSP
block in a design: instantiation and inference. Both methods use the following
Quartus II megafunctions:
■ LPM_MULT
■ ALTMULT_ADD
■ ALTMULT_ACCUM
■ ALTFP_MULT
You can instantiate the megafunctions in the Quartus II software to use the DSP block.
Alternatively, with inference, you can create an HDL design and synthesize it using a
third-party synthesis tool (such as LeonardoSpectrum, Synplify, or Quartus II Native
Synthesis) that infers the appropriate megafunction by recognizing multipliers,
multiplier adders, multiplier accumulators, and shift functions. Using either method,
the Quartus II software maps the functionality to the DSP blocks during compilation.
f For instructions about using the megafunctions and the MegaWizard Plug-In Manager,
refer to the Quartus II Software Help.
f For more information, refer to the Synthesis section in volume 1 of the Quartus II
Development Software Handbook.
SIII51006-2.0
This chapter describes the hierarchical clock networks and multiple phase-locked
loops (PLLs) with advanced features in Stratix® III devices. The large number of
clocking resources, in combination with the clock synthesis precision provided by the
PLLs, provide a complete clock management solution. The Altera® Quartus® II
software compiler automatically turns off clock networks not used in the design,
thereby reducing the overall power consumption of the device.
Stratix III devices deliver abundant PLL resources with up to 12 PLLs per device and
up to 10 outputs per PLL. You can independently program every output, creating a
unique, customizable clock frequency. Inherent jitter filtration and fine granularity
control over multiply, divide ratios, and dynamic phase shift reconfiguration provide
the high performance precision required in today’s high-speed applications. Stratix III
device PLLs are feature-rich, supporting advanced capabilities such as clock
switchover, dynamic phase shifting, PLL reconfiguration, and reconfigurable
bandwidth. Stratix III PLLs also support external feedback mode, spread-spectrum
tracking, and post-scale counter cascading features.
The Quartus II software enables the PLLs and their features without requiring any
external devices. The following sections describe the Stratix III clock networks and
PLLs in detail.
GCLK[12..15]
L2 GCLK[0..3] GCLK[8..11] R2
CLK[0..3] CLK[8..11]
L3 R3
GCLK[4..7]
L4 R4
B1 B2
CLK[4..7]
Figure 6–2. Regional Clock Networks (EP3SL50, EP3SL70, and EP3SE50 Devices)
CLK[12..15]
T1
RCLK[54..63] RCLK[44..53]
RCLK[0..5] RCLK[38..43]
Q1 Q2
CLK[0..3] L2 R2 CLK[8..11]
Q4 Q3
RCLK[6..11] RCLK[32..37]
RCLK[12..21] RCLK[22..31]
B1
CLK[4..7]
Figure 6–3. Regional Clock Networks (EP3SL110, EP3SL150, EP3SE80, and EP3SE110 Devices)
CLK[12..15]
T1 T2
RCLK[54..63] RCLK[44..53]
RCLK[0..5] RCLK[38..43]
L2 Q1 Q2 R2
CLK[0..3] CLK[8..11]
L3 Q4 Q3 R3
RCLK[6..11] RCLK[32..37]
RCLK[12..21] RCLK[22..31]
B1 B2
CLK[4..7]
Figure 6–4. Regional Clock Networks (EP3SL200, EP3SE260, and EP3SL340 Devices) (Note 1)
CLK[12..15]
T1 T2
L1 R1
RCLK[82..87] RCLK[54..63] RCLK[44..53] RCLK[76..81]
RCLK[0..5] RCLK[38..43]
CLK[0..3] L2 Q1 Q2 R2 CLK[8..11]
L3 Q4 Q3 R3
RCLK[6..11] RCLK[32..37]
B1 B2
CLK[4..7]
Figure 6–5. Periphery Clock Networks (EP3SL50, EP3SL70, and EP3SE50 Devices)
CLK[12..15]
T1
PCLK[0..13] PCLK[42..55]
Q1 Q2
CLK[0..3] L2 R2 CLK[8..11]
Q4 Q3
PCLK[14..27] PCLK[28..41]
B1
CLK[4..7]
Figure 6–6. Periphery Clock Networks (EP3SL110, EP3SL150, EP3SE80, and EP3SE110 Devices)
CLK[12..15]
T1 T2
PCLK[0..10] PCLK[77..87]
PCLK[11..21] PCLK[66..76]
L2 Q1 Q2 R2
CLK[0..3] CLK[8..11]
L3 Q4 Q3 R3
PCLK[22..32] PCLK[55..65]
PCLK[33..43] PCLK[44..54]
B1 B2
CLK[4..7]
T1 T2
L1 R1
PCLK[0..10] PCLK[77..87]
PCLK[11..21] PCLK[66..76]
CLK[0..3] L2 Q1 Q2 R2 CLK[8..11]
L3 Q4 Q3 R3
PCLK[22..32] PCLK[55..65]
PCLK[33..43] PCLK[44..54]
L4 R4
B1 B2
CLK[4..7]
PCLK[0..13] PCLK[98..111]
PCLK[14..27] PCLK[84..97]
L2 Q1 Q2 R2
CLK[0..3] CLK[8..11]
L3 Q4 Q3 R3
PCLK[28..41] PCLK[70..83]
PCLK[42..55] PCLK[56..69]
L4 R4
B1 B2
CLK[4..7]
T1 T2
L1 R1
PCLK[0..15] PCLK[116..131]
PCLK[16..32] PCLK[99..115]
L2 Q1 Q2 R2
CLK[0..3] CLK[8..11]
L3 Q4 Q3 R3
PCLK[33..49] PCLK[82..98]
PCLK[50..65] PCLK[66..81]
L4 R4
B1 B2
CLK[4..7]
Clocking Regions
Stratix III devices provide up to 104 distinct clock domains (16 GCLKs + 88 RCLKs) in
the entire device. You can utilize these clock resources to form the following three
different types of clock regions:
■ Entire device clock region
■ Regional clock region
■ Dual-regional clock region
In order to form the entire device clock region, a source (not necessarily a clock signal)
drives a global clock network that can be routed through the entire device. This clock
region has the maximum delay compared to other clock regions but allows the signal
to reach every destination within the device. This is a good option for routing global
reset/clear signals or routing clocks throughout the device.
In order to form a regional clock region, a source drives a single-quadrant of the
device. This clock region provides the lowest skew within a quadrant and is a good
option if all destinations are within a single device quadrant.
To form a dual-regional clock region, a single source (a clock pin or PLL output)
generates a dual-regional clock by driving two regional clock networks (one from
each quadrant). This technique allows destinations across two device quadrants to
use the same low-skew clock. The routing of this signal on an entire side has
approximately the same delay as in a regional clock region. Internal logic can also
drive a dual-regional clock network. Corner PLL outputs only span one quadrant and
hence cannot generate a dual-regional clock network. Figure 6–10 shows the
dual-regional clock region.
1 Stratix III device PLLs cannot be driven by internally generated GCLKs or RCLKs.
The input clock to the PLL must come from dedicated clock input pins/PLL-fed
GCLKs or RCLKs only.
Table 6–3 lists the connectivity between the dedicated clock input pins and RCLKs in
device Quadrant 1. A given clock input pin can drive two adjacent regional clock
networks to create a dual-regional clock network.
Table 6–3. Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 1)
CLK (p/n Pins)
Clock Resource
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
RCLK0 v — — — — — — — — — — — — — — —
RCLK1 — v — — — — — — — — — — — — — —
RCLK2 — — v — — — — — — — — — — — — —
RCLK3 — — — v — — — — — — — — — — — —
RCLK4 v — — — — — — — — — — — — — — —
RCLK5 — v — — — — — — — — — — — — — —
RCLK54 — — — — — — — — — — — — — — — v
RCLK55 — — — — — — — — — — — — — — v —
RCLK56 — — — — — — — — — — — — — v — —
RCLK57 — — — — — — — — — — — — v — — —
RCLK58 — — — — — — — — — — — — — — — v
RCLK59 — — — — — — — — — — — — — — v —
RCLK60 — — — — — — — — — — — — — v — —
RCLK61 — — — — — — — — — — — — v — — —
RCLK62 — — — — — — — — — — — — — — — v
RCLK63 — — — — — — — — — — — — — — v —
Table 6–4 lists the connectivity between the dedicated clock input pins and RCLKs in
device Quadrant 2. A given clock input pin can drive two adjacent regional clock
networks to create a dual-regional clock network.
Table 6–4. Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 2) (Part 1 of 2)
CLK (p/n Pins)
Clock Resource
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
RCLK38 — — — — — — — — — — — v — — — —
RCLK39 — — — — — — — — — — v — — — — —
RCLK40 — — — — — — — — — v — — — — — —
RCLK41 — — — — — — — — v — — — — — — —
RCLK42 — — — — — — — — — — — v — — — —
RCLK43 — — — — — — — — — — v — — — — —
RCLK44 — — — — — — — — — — — — — — — v
RCLK45 — — — — — — — — — — — — — — v —
RCLK46 — — — — — — — — — — — — — v — —
RCLK47 — — — — — — — — — — — — v — — —
RCLK48 — — — — — — — — — — — — — — — v
RCLK49 — — — — — — — — — — — — — — v —
Table 6–4. Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 2) (Part 2 of 2)
CLK (p/n Pins)
Clock Resource
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
RCLK50 — — — — — — — — — — — — — v — —
RCLK51 — — — — — — — — — — — — v — — —
RCLK52 — — — — — — — — — — — — — — — v
RCLK53 — — — — — — — — — — — — — — v —
Table 6–5 lists the connectivity between the dedicated clock input pins and RCLKs in
device Quadrant 3. A given clock input pin can drive two adjacent regional clock
networks to create a dual-regional clock network.
Table 6–5. Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 3)
CLK (p/n Pins)
Clock Resource
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
RCLK22 — — — — — v — — — — — — — — — —
RCLK23 — — — — v — — — — — — — — — — —
RCLK24 — — — — — — — v — — — — — — — —
RCLK25 — — — — — — v — — — — — — — — —
RCLK26 — — — — — v — — — — — — — — — —
RCLK27 — — — — v — — — — — — — — — — —
RCLK28 — — — — — — — v — — — — — — — —
RCLK29 — — — — — — v — — — — — — — — —
RCLK30 — — — — — v — — — — — — — — — —
RCLK31 — — — — v — — — — — — — — — — —
RCLK32 — — — — — — — — — — — v — — — —
RCLK33 — — — — — — — — — — v — — — — —
RCLK34 — — — — — — — — — v — — — — — —
RCLK35 — — — — — — — — v — — — — — — —
RCLK36 — — — — — — — — — — — v — — — —
RCLK37 — — — — — — — — — — v — — — — —
Table 6–6 lists the connectivity between the dedicated clock input pins and RCLKs in
device Quadrant 4. A given clock input pin can drive two adjacent regional clock
networks to create a dual-regional clock network.
Table 6–6. Clock Input Pin Connectivity to Regional Clock Networks (Quadrant 4)
CLK (p/n Pins)
Clock Resource
0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
RCLK6 v — — — — — — — — — — — — — — —
RCLK7 — v — — — — — — — — — — — — — —
RCLK8 — — v — — — — — — — — — — — — —
RCLK9 — — — v — — — — — — — — — — — —
RCLK10 v — — — — — — — — — — — — — — —
RCLK11 — v — — — — — — — — — — — — — —
RCLK12 — — — — — v — — — — — — — — — —
RCLK13 — — — — v — — — — — — — — — — —
RCLK14 — — — — — — — v — — — — — — — —
RCLK15 — — — — — — v — — — — — — — — —
RCLK16 — — — — — v — — — — — — — — — —
RCLK17 — — — — v — — — — — — — — — — —
RCLK18 — — — — — — — v — — — — — — — —
RCLK19 — — — — — — v — — — — — — — — —
RCLK20 — — — — — v — — — — — — — — — —
RCLK21 — — — — v — — — — — — — — — — —
Table 6–7 lists the dedicated clock input pin connectivity to Stratix III device PLLs.
Table 6–7. Stratix III Device PLLs and PLL Clock Pin Drivers (Part 1 of 2) (Note 1)
Table 6–7. Stratix III Device PLLs and PLL Clock Pin Drivers (Part 2 of 2) (Note 1)
Table 6–8. PLL Connectivity to GCLKs on Stratix III Devices (Part 1 of 2) (Note 1)
PLL Number
Clock Network
L1 L2 L3 L4 B1 B2 R1 R2 R3 R4 T1 T2
GCLK0 v v v v — — — — — — — —
GCLK1 v v v v — — — — — — — —
GCLK2 v v v v — — — — — — — —
GCLK3 v v v v — — — — — — — —
GCLK4 — — — — v v — — — — — —
GCLK5 — — — — v v — — — — — —
GCLK6 — — — — v v — — — — — —
GCLK7 — — — — v v — — — — — —
GCLK8 — — — — — — v v v v — —
GCLK9 — — — — — — v v v v — —
GCLK10 — — — — — — v v v v — —
GCLK11 — — — — — — v v v v — —
Table 6–8. PLL Connectivity to GCLKs on Stratix III Devices (Part 2 of 2) (Note 1)
PLL Number
Clock Network
L1 L2 L3 L4 B1 B2 R1 R2 R3 R4 T1 T2
GCLK12 — — — — — — — — — — v v
GCLK13 — — — — — — — — — — v v
GCLK14 — — — — — — — — — — v v
GCLK15 — — — — — — — — — — v v
Note to Table 6–8:
(1) Only PLL counter outputs C0 - C3 can drive GCLK networks.
Table 6–9 lists how the PLL clock outputs connect to RCLK networks.
Table 6–9. Regional Clock Outputs From PLLs on Stratix III Devices (Note 1)
PLL Number
Clock Resource
L1 L2 L3 L4 B1 B2 R1 R2 R3 R4 T1 T2
RCLK[0..11] — v v — — — — — — — — —
RCLK[12..31] — — — — v v — — — — — —
RCLK[32..43] — — — — — — — v v — — —
RCLK[44..63] — — — — — — — — — — v v
RCLK[64..69] — — — v — — — — — — — —
RCLK[70..75] — — — — — — — — — v — —
RCLK[76..81] — — — — — — v — — — — —
RCLK[82..87] v — — — — — — — — — — —
Note to Table 6–9:
(1) All PLL counter outputs can drive RCLK networks.
Figure 6–11. Clock Input Multiplexer Logic for L2, L3, T1, T2, B1, B2, R2, and R3 PLLs
(1)
clk[n+3..n] (2) 4
GCLK / RCLK input (3) inclk0
To the clock
Adjacent PLL output switchover block
(1)
4 inclk1
Figure 6–12. Clock Input Multiplexer Logic for L1, L4, R1, and R4 PLLs
PLL_<L1/L4/R1/R4>_CLK (1)
GCLK/RCLK (2) inclk0
4
inclk1
4
Figure 6–13 and Figure 6–14 show the global clock and regional clock select blocks,
respectively.
Internal
Logic
GCLK
Enable/
Disable
Internal
Logic
RCLK
The clock source selection for the regional clock select block can only be controlled
statically using configuration bit settings in the configuration file (.sof or .pof)
generated by the Quartus II software.
The Stratix III clock networks can be powered down by both static and dynamic
approaches. When a clock net is powered down, all the logic fed by the clock net is in
an off-state, thereby reducing the overall power consumption of the device. The
unused global and regional clock networks are automatically powered down through
configuration bit settings in the configuration file (.sof or .pof) generated by the
Quartus II software. The dynamic clock enable or disable feature allows the internal
logic to control power-up or power-down synchronously on GCLK and RCLK
networks, including dual-regional clock regions. This function is independent of the
PLL and is applied directly on the clock network, as shown in Figure 6–13 and
Figure 6–14.
You can set the input clock sources and the clkena signals for the global and regional
clock network multiplexers through the Quartus II software using the ALTCLKCTRL
megafunction. You can also enable or disable the dedicated external clock output pins
using the ALTCLKCTRL megafunction.
When using the ALTCLKCTRL megafunction to implement clock source selection
(dynamic), the inputs from the clock pins feed the inclock[0..1] ports of the
multiplexer, while the PLL outputs feed the inclock[2..3] ports. You can choose
from among these inputs using the CLKSELECT[1..0] signal.
Figure 6–15 shows the external PLL output clock control block.
Figure 6–15. Stratix III External PLL Output Clock Control Block
PLL Counter
Outputs
7 or 10
Enable/
Disable
Internal
Logic
IOE (2)
Internal
Logic
Static Clock
Select (1)
PLL_<#>_CLKOUT pin
clkena D Q D Q GCLK/
output of clock RCLK/
select mux PLL_<#>_CLKOUT (1)
R1 R2
In Stratix III devices, the clkena signals are supported at the clock network level
instead of at the PLL output counter level. This allows you to gate off the clock even
when a PLL is not being used. You can also use the clkena signals to control the
dedicated external clocks from the PLLs. Figure 6–17 shows the waveform example
for a clock output enable. clkena is synchronous to the falling edge of the clock
output.
Stratix III devices also have an additional metastability register that aids in
asynchronous enable/disable of the GCLK and RCLK networks. This register can be
optionally bypassed in the Quartus II software.
output of
clock
select mux
clkena
output of AND
gate with R2 bypassed
output of AND
gate with R2 not bypassed
The PLL can remain locked independently of the clkena signals because the
loop-related counters are not affected. This feature is useful for applications that
require a low power or sleep mode. The clkena signal can also disable clock outputs
if the system is not tolerant of frequency overshoot during resynchronization.
All Stratix III PLLs have the same core analog structure with only minor differences in
the features that are supported. Table 6–11 lists the features of the Top/Bottom and
Left/Right PLLs in Stratix III devices.
Figure 6–18 shows the location of the PLLs in Stratix III devices.
CLK[12..15]
T1 T2
PLL_L1_CLK L1 R1 PLL_R1_CLK
PLL_L4_CLK L4 R4 PLL-R4_CLK
B1 B2
CLK[4..7]
The loop filter converts these up and down signals to a voltage that is used to bias the
VCO. The loop filter also removes glitches from the charge pump and prevents
voltage overshoot, which filters the jitter on the VCO. The voltage from the loop filter
determines how fast the VCO operates. A divide counter (m) is inserted in the
feedback loop to increase the VCO frequency above the input reference frequency.
VCO frequency (fVCO) is equal to (m) times the input reference clock (fREF ). The input
reference clock (fREF ) to the PFD is equal to the input clock (fIN) divided by the pre-scale
counter (N). Therefore, the feedback clock (fFB) applied to one input of the PFD is
locked to the fREF that is applied to the other input of the PFD.
The VCO output from Left/Right PLLs can feed seven post-scale counters (C[0..6]),
while the corresponding VCO output from Top/Bottom PLLs can feed ten post-scale
counters (C[0..9]). These post-scale counters allow a number of harmonically
related frequencies to be produced by the PLL.
Figure 6–19 shows a simplified block diagram of the major components of the
Stratix III PLL.
Casade output
Lock locked to adjacent PLL
pfdena Circuit /2, /4 ÷C0
GCLKs
no compensation mode
ZDB, External feedback modes
FBIN
LVDS Compensation mode DIFFIOCLK network
Source Synchronous, normal modes
GCLK/RCLK network
Figure 6–20 shows the clock I/O pins associated with Top/Bottom PLLs.
Internal Logic
C0
C1
C2
C3
Top/Bottom
PLLs C4
C5
C6
C7
C8
C9
m(fbout)
clkena0 (3)
clkena1 (3)
f To determine which I/O standards are supported by the PLL clock input and output
pins, refer to the Stratix III Device I/O Features chapter.
Stratix III PLLs can also drive out to any regular I/O pin through the global or
regional clock network. You can use the external clock output pins as user I/O pins if
external PLL clocking is not needed.
areset
activeclock
pfdena
scandataout
scanclk
scandata scandone
scanclkena
phasedone
configupdate
fbout
phasecounterselect[3..0]
phaseupdown
phasestep
Table 6–12 lists the PLL input signals for Stratix III devices.
Table 6–13 lists the PLL output signals for Stratix III devices.
1 The input and output delays are fully compensated by a PLL only when they are
using the dedicated clock input pins associated with a given PLL as the clock source.
Input and output delays are not compensated when cascading two adjacent top or
bottom PLLs even if they are using dedicated routing for cascading. For example,
when using PLL_T1 in normal mode, the clock delays from the input pin to the PLL
clock output-to-destination register are fully compensated provided the clock input
pin is one of the following four pins: CLK12, CLK13, CLK14, or CLK15. When an
RCLK or GCLK network drives the PLL, the input and output delays may not be fully
compensated in the Quartus II software.
Figure 6–23. Phase Relationship Between Clock and Data in Source-Synchronous Mode
Data pin
PLL
reference clock
at input pin
Data at register
Clock at register
Figure 6–24 shows an example waveform of the clock and data in the LVDS mode.
Figure 6–24. Phase Relationship Between Clock and Data LVDS Modes
Data pin
PLL
reference clock
at input pin
Data at register
Clock at register
The source-synchronous mode compensates for the delay of the clock network used
plus any difference in the delay between these two paths:
■ Data pin to IOE register input
■ Clock input pin to the PLL PFD input
1 Set the input pin to register delay chain within the IOE to zero in the Quartus II
software for all data pins clocked by a source-synchronous mode PLL. Also, all data
pins must use the PLL COMPENSATED logic option in the Quartus II software.
No-Compensation Mode
In the no-compensation mode, the PLL does not compensate for any clock networks.
This mode provides better jitter performance because the clock feedback into the PFD
passes through less circuitry. Both the PLL internal- and external-clock outputs are
phase-shifted with respect to the PLL clock input. Figure 6–25 shows an example
waveform of the PLL clocks’ phase relationship in this mode.
PLL Reference
Clock at the
Input Pin
PLL Clock at the
Register Clock Port (1)
Normal Mode
An internal clock in normal mode is phase-aligned to the input clock pin. The external
clock-output pin has a phase delay relative to the clock input pin if connected in this
mode. The Quartus II software timing analyzer reports any phase difference between
the two. In normal mode, the delay introduced by the GCLK or RCLK network is fully
compensated. Figure 6–26 shows an example waveform of the PLL clocks’ phase
relationship in this mode.
PLL Reference
Clock at the
Input Pin
1 To avoid reflection, do not place a board trace on the bi-directional I/O pins.
1 The bi-directional I/O pin that you instantiate in your design should always be
assigned a single-ended I/O standard.
÷C1 PLL_<#>_CLKOUT#
fbout
÷m
bi-directional
fbin I/O pin
Figure 6–28 shows an example waveform of the PLL clocks' phase relationship in ZDB
mode.
Figure 6–28. Phase Relationship Between PLL Clocks in Zero Delay Buffer Mode
Phase Aligned
PLL Reference
Clock at the
Input Pin
Dedicated PLL
Clock Outputs (1)
÷C1 PLL_<#>_CLKOUT#
fbout
÷m external
fbin board
trace
Figure 6–30 shows an example waveform of the phase relationship between PLL
clocks in EFB mode.
PLL Reference
Clock at the
Input Pin
PLL Clock at
the Register
Clock Port (1)
Dedicated PLL
Clock Outputs (1)
VCO Output C0
VCO Output C1
VCO Output C2
VCO Output C3
VCO Output C4
from preceding
post-scale counter
VCO Output Cn
(1)
1 Post-scale counter cascading is set in the configuration file. It cannot be done using
PLL reconfiguration.
pfdena
Use the pfdena signal to maintain the most recent locked frequency so your system
has time to store its current settings before shutting down. The pfdena signal
controls the PFD output with a programmable gate. If you disable the PFD, the VCO
is free running and the PLL output drifts. The PLL output jitter may not meet the
datasheet specifications. The lock signal cannot be used as an indicator when the PFD
is disabled.
areset
The areset signal is the reset or resynchronization input for each PLL. The device
input pins or internal logic can drive these input signals. When areset is driven
high, the PLL counters reset, clearing the PLL output and placing the PLL out-of-lock.
The VCO is then set back to its nominal setting. When areset is driven low again,
the PLL will resynchronize to its input as it re-locks.
You should assert the areset signal every time the PLL loses lock to guarantee the
correct phase relationship between the PLL input clock and output clocks. You can set
up the PLL to automatically reset (self reset) upon a loss-of-lock condition using the
Quartus II MegaWizard Plug-In Manager. You should include the areset signal in
designs if the following condition is true:
PLL reconfiguration or clock switchover is enabled in the design.
1 If the input clock to the PLL is not toggling or is unstable upon power up, assert the
areset signal after the input clock is stable and within specifications.
locked
The lock signal is an asynchronous output of the PLL. The locked output of the PLL
indicates that the PLL has locked onto the reference clock and the PLL clock outputs
are operating at the desired phase and frequency set in the Quartus II MegaWizard
Plug-In Manager. The lock detection circuit provides a signal to the core logic that
gives an indication if the feedback clock has locked onto the reference clock both in
phase and frequency.
1 Altera recommends that you use the areset and locked signals in your designs to
control and observe the status of your PLL.
Clock Switchover
The clock switchover feature allows the PLL to switch between two reference input
clocks. Use this feature for clock redundancy or for a dual-clock domain application
such as in a system that turns on the redundant clock if the previous clock stops
running. The design can perform clock switchover automatically, when the clock is no
longer toggling or based on a user control signal, clkswitch.
The following clock switchover modes are supported in Stratix III PLLs:
■ Automatic switchover—The clock sense circuit monitors the current reference
clock and if it stops toggling, automatically switches to the other clock inclk0 or
inclk1.
■ Manual clock switchover—Clock switchover is controlled via the clkswitch
signal in this mode. When the clkswitch signal goes from logic low to logic
high, and stays high for at least three clock cycles, the reference clock to the PLL is
switched from inclk0 to inclk1, or vice-versa.
■ Automatic switchover with manual override—This mode combines Modes 1 and
2. When the clkswitch signal goes high, it overrides automatic clock switchover
mode.
Stratix III device PLLs support a fully configurable clock switchover capability.
Figure 6–32 shows the block diagram of the switchover circuit built into the PLL.
When the current reference clock is not present, the clock sense block automatically
switches to the backup clock for PLL reference. The clock switchover circuit also sends
out three status signals—clkbad[0], clkbad[1], and activeclock—from the
PLL to implement a custom switchover circuit in the logic array. You can select a clock
source as the backup clock by connecting it to the inclk1 port of the PLL in your
design.
clkbad1
activeclock
Clock Switchover
Sense State
Machine
clksw
inclk0
n Counter PFD
inclk1
muxout refclk
fbclk
When using the automatic clock switchover mode, the following requirements must
be satisfied:
■ Both clock inputs must be running.
■ The period of the two clock inputs can differ by no more than 100% (2×).
If the current clock input stops toggling while the other clock is also not toggling,
switchover will not be initiated and the clkbad[0..1] signals will not be valid.
Also, if both clock inputs are not the same frequency, but their period difference is
within 100%, the clock sense block will detect when a clock stops toggling, but the
PLL may lose lock after the switchover is completed and need time to re-lock.
1 Altera recommends resetting the PLL using the areset signal to maintain the phase
relationships between the PLL input and output clocks when using clock switchover.
When using automatic switchover mode, the clkbad[0] and clkbad[1] signals
indicate the status of the two clock inputs. When they are asserted, the clock sense
block has detected that the corresponding clock input has stopped toggling. These
two signals are not valid if the frequency difference between inclk0 and inclk1 is
greater than 20%.
The activeclock signal indicates which of the two clock inputs (inclk0 or
inclk1) is being selected as the reference clock to the PLL. When the frequency
difference between the two clock inputs is more than 20%, the activeclock signal is
the only valid status signal.
Figure 6–33 shows an example waveform of the switchover feature when using the
automatic switchover mode. In this example, the inclk0 signal remains low. After
the inclk0 signal remains low for approximately two clock cycles, the clock sense
circuitry drives the clkbad[0] signal high. Also, because the reference clock signal is
not toggling, the switchover state machine controls the multiplexer through the
clksw signal to switch to the backup clock, inclk1.
inclk0
inclk1
(1)
muxout
clkbad0
clkbad1
activeclock
Manual Override
In the automatic switchover with manual override mode, you can use the clkswitch
input for user- or system-controlled switch conditions. You can use this mode for
same-frequency switchover or to switch between inputs of different frequencies. For
example, if inclk0 is 66 MHz and inclk1 is 200 MHz, you must control the
switchover using clkswitch because the automatic clock-sense circuitry cannot
monitor clock input (inclk0, inclk1) frequencies with a frequency difference of
more than 100% (2×). This feature is useful when the clock sources originate from
multiple cards on the backplane, requiring a system-controlled switchover between
the frequencies of operation. You should choose the backup clock frequency and set
the m, n, c, and k counters accordingly so the VCO operates within the recommended
operating frequency range of 600 to 1,300 MHz. The ALTPLL MegaWizard Plug-in
Manager notifies users if a given combination of inclk0 and inclk1 frequencies
cannot meet this requirement. In the Quartus II software, the VCO value reported is
divided by the post scale counter (K).
Figure 6–34 shows an example of a waveform illustrating the switchover feature
when controlled by clkswitch. In this case, both clock sources are functional and
inclk0 is selected as the reference clock. clkswitch goes high, which starts the
switchover sequence. On the falling edge of inclk0, the counter's reference clock,
muxout, is gated off to prevent any clock glitching. On the falling edge of inclk1, the
reference clock multiplexer switches from inclk0 to inclk1 as the PLL reference,
and the activeclock signal changes to indicate which clock is currently feeding the
PLL.
Figure 6–34. Clock Switchover Using the clkswitch (Manual) Control (Note 1)
inclk0
inclk1
muxout
clkswitch
activeclock
clkbad0
clkbad1
In this mode, the activeclock signal mirrors the clkswitch signal. As both clocks
are still functional during the manual switch, neither clkbad signal goes high. Since
the switchover circuit is positive-edge sensitive, the falling edge of the clkswitch
signal does not cause the circuit to switch back from inclk1 to inclk0. When the
clkswitch signal goes high again, the process repeats. clkswitch and automatic
switch only work if the clock being switched to is available. If the clock is not
available, the state machine waits until the clock is available.
inclk0
n Counter PFD
inclk1
muxout refclk
fbclk
f For more information about PLL software support in the Quartus II software, refer to
the ALTPLL Megafunction User Guide.
Guidelines
Use the following guidelines when implementing clock switchover in Stratix III PLLs.
■ Automatic clock switchover requires that the inclk0 and inclk1 frequencies be
within 100% (2×) of each other. Failing to meet this requirement causes the
clkbad[0] and clkbad[1] signals to not function properly.
■ When using manual clock switchover, the difference between inclk0 and
inclk1 can be more than 100% (2×). However, differences in frequency and/or
phase of the two clock sources will likely cause the PLL to lose lock. Resetting the
PLL ensures that the correct phase relationships are maintained between input
and output clocks.
1 Both inclk0 and inclk1 must be running when the clkswitch signal
goes high to instantiate the manual clock switchover event. Failing to meet
this requirement causes the clock switchover to not function properly.
■ Applications that require a clock switchover feature and a small frequency drift
should use a low-bandwidth PLL. The low-bandwidth PLL reacts more slowly
than a high-bandwidth PLL to reference input clock changes. When the
switchover happens, a low-bandwidth PLL propagates the stopping of the clock to
the output more slowly than a high-bandwidth PLL. However, be aware that the
low-bandwidth PLL also increases lock time.
■ After a switchover occurs, there may be a finite resynchronization period for the
PLL to lock onto a new clock. The exact amount of time it takes for the PLL to
re-lock depends on the PLL configuration.
■ The phase relationship between the input clock to the PLL and the output clock
from the PLL is important in your design. Assert areset for at least 10 ns after
performing a clock switchover. Wait for the locked signal to go high and be stable
before re-enabling the output clocks from the PLL.
■ Figure 6–36 shows how the VCO frequency gradually decreases when the current
clock is lost and then increases as the VCO locks on to the backup clock.
Switchover Occurs
Programmable Bandwidth
Stratix III PLLs provide advanced control of the PLL bandwidth using the PLL loop's
programmable characteristics, including loop filter and charge pump.
Background
PLL bandwidth is the measure of the PLL's ability to track the input clock and its
associated jitter. The closed-loop gain 3-dB frequency in the PLL determines the PLL
bandwidth. The bandwidth is approximately the unity gain point for open loop PLL
response. As Figure 6–37 shows, these points correspond to approximately the same
frequency. Stratix III PLLs provide three bandwidth settings—low, medium (default),
and high.
0 dB
Gain
Frequency
Gain
Frequency
A high-bandwidth PLL provides a fast lock time and tracks jitter on the reference
clock source, passing it through to the PLL output. A low-bandwidth PLL filters out
reference clock jitter but increases lock time. Stratix III PLLs allow you to control the
bandwidth over a finite range to customize the PLL characteristics for a particular
application. The programmable bandwidth feature in Stratix III PLLs benefits
applications requiring clock switchover.
A high-bandwidth PLL can benefit a system that needs to accept a spread-spectrum
clock signal. Stratix III PLLs can track a spread-spectrum clock by using a
high-bandwidth setting. Using a low-bandwidth in this case could cause the PLL to
filter out the jitter on the input clock.
A low-bandwidth PLL can benefit a system using clock switchover. When the clock
switchover happens, the PLL input temporarily stops. A low-bandwidth PLL reacts
more slowly to changes on its input clock and takes longer to drift to a lower
frequency (caused by the input stopping) than a high-bandwidth PLL.
Implementation
Traditionally, external components such as the VCO or loop filter control a PLL's
bandwidth. Most loop filters consist of passive components such as resistors and
capacitors that take up unnecessary board space and increase cost. With Stratix III
PLLs, all the components are contained within the device to increase performance and
decrease cost.
When you specify the bandwidth setting (low, medium, or high) in the ALTPLL
MegaWizard Plug-in Manager, the Quartus II software automatically sets the
corresponding charge pump and loop filter (Icp, R, C) values to achieve the desired
bandwidth range.
Figure 6–38 shows the loop filter and the components that you can set using the
Quartus II software. The components are the loop filter resistor, R, the high frequency
capacitor, CH, and the charge pump current, IUP or IDN.
IUP
PFD
R
Ch
IDN
C
Phase-Shift Implementation
Phase shift is used to implement a robust solution for clock delays in Stratix III
devices. Phase shift is implemented by using a combination of the VCO phase output
and the counter starting time. The VCO phase output and counter starting time is the
most accurate method of inserting delays, since it is based purely on counter settings,
which are independent of process, voltage, and temperature.
You can phase-shift the output clocks from the Stratix III PLLs in either of these two
resolutions:
■ Fine resolution using VCO phase taps
■ Coarse resolution using counter starting time
Fine-resolution phase shifts are implemented by allowing any of the output counters
(C[n..0]) or the m counter to use any of the eight phases of the VCO as the reference
clock. This allows you to adjust the delay time with a fine resolution. The minimum
delay time that you can insert using this method is defined by Equation 6–1.
Equation 6–1.
1 1 N
Φfine = T = =
8 VCO 8fVCO 8MfREF
Equation 6–2.
C − 1 (C − 1)N
Φcoarse = =
fVco MfREF
where C is the count value set for the counter delay time (this is the initial setting in
the PLL usage section of the compilation report in the Quartus II software). If the
initial value is 1, C – 1 = 0° phase shift.
Figure 6–39 shows an example of phase-shift insertion with the fine resolution using
the VCO phase taps method. The eight phases from the VCO are shown and labeled
for reference. For this example, CLK0 is based off the 0phase from the VCO and has
the C value for the counter set to one. The CLK0 signal is divided by four, two VCO
clocks for high time and two VCO clocks for low time. CLK1 is based off the 135°
phase tap from the VCO and also has the C value for the counter set to one. The CLK1
signal is also divided by 4. In this case, the two clocks are offset by 3Φfine. CLK2 is based
off the 0phase from the VCO but has the C value for the counter set to three. This
arrangement creates a delay of 2Φcoarse (two complete VCO periods).
Figure 6–39. Delay Insertion Using VCO Phase Output and Counter Delay Time
1/8 tVCO tVCO
45
90
135
180
225
270
315
CLK0
td0-1
CLK1
td0-2
CLK2
You can use the coarse- and fine-phase shifts to implement clock delays in Stratix III
devices.
Stratix III devices support dynamic phase-shifting of VCO phase taps only. The phase
shift is reconfigurable any number of times, and each phase shift takes about one
SCANCLK cycle, allowing you to implement large phase shifts quickly.
PLL Reconfiguration
PLLs use several divide counters and different VCO phase taps to perform frequency
synthesis and phase shifts. In Stratix III PLLs, you can reconfigure both the counter
settings and phase-shift the PLL output clock in real time. You can also change the
charge pump and loop-filter components, which dynamically affects the PLL
bandwidth. You can use these PLL components to update the output-clock frequency
and the PLL bandwidth and to phase-shift in real time, without reconfiguring the
entire Stratix III device.
The ability to reconfigure the PLL in real time is useful in applications that operate at
multiple frequencies. It is also useful in prototyping environments, allowing you to
sweep PLL output frequencies and adjust the output-clock phase dynamically. For
example, a system generating test patterns is required to generate and transmit
patterns at 75 or 150 MHz, depending on the requirements of the device under test.
Reconfiguring the PLL components in real time allows you to switch between two
such output frequencies within a few microseconds. You can also use this feature to
adjust clock-to-out (tCO) delays in real time by changing the PLL output clock phase
shift. This approach eliminates the need to regenerate a configuration file with the
new PLL settings.
scanclkena
configupdate
scandone
scanclk
1 The counter settings are updated synchronously to the clock frequency of the
individual counters. Therefore, all counters are not updated simultaneously.
Table 6–15 lists how these signals can be driven by the programmable logic device
(PLD) logic array or I/O pins.
SCANDATA Dn D0
SCANCLK
SCANCLKENA
CONFIGUPDATE
SCANDONE
ARESET
1 When you reconfigure the counter clock frequency, you cannot reconfigure the
corresponding counter phase shift settings using the same interface. Instead,
reconfigure the phase shifts in real time using the dynamic phase shift reconfiguration
interface. If you reconfigure the counter frequency, but wish to keep the same
non-zero phase shift setting (for example, 90 degrees) on the clock output, you must
reconfigure the phase shift immediately after reconfiguring the counter clock
frequency.
The rselodd bit indicates an odd divide factor for the VCO output frequency along
with a 50% duty cycle. For example, if the post-scale divide factor is 3, the high- and
low-time count values could be set to 2 and 1, respectively, to achieve this division.
This implies a 67%-33% duty cycle. If you need a 50%-50% duty cycle, you can set the
rselodd control bit to 1 to achieve this duty cycle despite an odd division factor. The
PLL implements this duty cycle by transitioning the output clock from high to low on
a falling edge of the VCO output clock. When you set rselodd = 1, you subtract 0.5
cycles from the high time and you add 0.5 cycles to the low time. For example:
■ High-time count = 2 cycles
■ Low-time count = 1 cycle
■ rselodd = 1 effectively equals:
■ High-time count = 1.5 cycles
■ Low-time count = 1.5 cycles
■ Duty cycle = (1.5/3) % high-time count and (1.5/3) % low-time count
Table 6–16 lists the scan chain order of PLL components for Top/Bottom PLLs which
have 10 post-scale counters. The order of bits is the same for the Left/Right PLLs, but
the reconfiguration bits start with the C6 post-scale counter.
Figure 6–42 shows the scan-chain order of PLL components for the Top/Bottom PLLs.
Figure 6–42. Scan-Chain Order of PLL Components for Top/Bottom PLLs (Note 1)
DATAIN LF K CP M N C0
MSB LSB
C6 C5 C4 C3 C2 C1
C7 C8 C9 DATAOUT
Figure 6–43 shows the scan-chain bit-order sequence for post-scale counters in all
Stratix III PLLs.
Figure 6–43. Scan-Chain Bit-Order Sequence for Post-Scale Counters in Stratix III PLLs
HB HB HB HB HB HB HB HB
rbypass DATAIN
0 1 2 3 4 5 6 7
LB LB LB LB LB LB LB LB
DATAOUT rselodd
0 1 2 3 4 5 6 7
Bypassing PLL
Bypassing a PLL counter results in a multiply (m counter) or a divide (n and C0 to C9
counters) factor of one.
Table 6–20 lists the settings for bypassing the counters in Stratix III PLLs.
LSB MSB
[1] [2] [3] [4] [5] [6] [7] [8] [9] Description
(2) (1)
0 X X X X X X X X X 1 (3) PLL counter bypassed
PLL counter not bypassed
X X X X X X X X X X 0 (3)
because bit 10 (MSB) is set to 0
Notes to Table 6–20:
(1) Most significant bit (MSB).
(2) Least significant bit (LSB).
(3) Counter-bypass bit.
1 To bypass any of the PLL counters, set the bypass bit to 1. The values on the other bits
are ignored. To bypass the VCO post-scale counter (K), set the corresponding bit to 1.
Dynamic Phase-Shifting
The dynamic phase-shifting feature allows the output phases of individual PLL
outputs to be dynamically adjusted relative to each other and to the reference clock
without the need to send serial data through the scan chain of the corresponding PLL.
This feature simplifies the interface and allows you to quickly adjust clock-to-out (tCO)
delays by changing the output clock phase-shift in real time. This adjustment is
achieved by incrementing or decrementing the VCO phase-tap selection to a given C
counter or to the M counter. The phase is shifted by 1/8 of the VCO frequency at a
time. The output clocks are active during this phase-reconfiguration process.
Table 6–21 lists the control signals that are used for dynamic phase-shifting.
Table 6–22 lists the PLL counter selection based on the corresponding
PHASECOUNTERSELECT setting.
SCANCLK
PHASESTEP
PHASEUPDOWN
PHASECOUNTERSELECT
PHASEDONE
a b c d
PHASEDONE goes low synchronous with SCANCLK
tCONFIGPHASE
Spread-Spectrum Tracking
Stratix III devices can accept a spread-spectrum input with typical modulation
frequencies. However, the device cannot automatically detect that the input is a
spread-spectrum signal. Instead, the input signal looks like deterministic jitter at the
input of PLL. Stratix III PLLs can track a spread-spectrum input clock as long as it is
within the input-jitter tolerance specifications and the modulation frequency of the
input clock is below the PLL bandwidth which is specified in the fitter report.
Stratix III devices cannot internally generate spread-spectrum clocks.
PLL Specifications
f For information about PLL timing specifications, refer to the DC and Switching
Characteristics of Stratix III Devices chapter.
This section provides information on Stratix® III device I/O features, external memory
interfaces, and high-speed differential interfaces with DPA. This section includes the
following chapters:
■ Chapter 7, Stratix III Device I/O Features
■ Chapter 8, External Memory Interfaces in Stratix III Devices
■ Chapter 9, High-Speed Differential I/O Interfaces and DPA in Stratix III Devices
Revision History
Refer to each chapter for its own specific revision history. For information on when
each chapter was updated, refer to the Chapter Revision Dates section, which appears
in the full handbook.
SIII51007-1.9
Stratix ® III I/Os are specifically designed for ease of use and rapid system integration
while simultaneously providing the high bandwidth required to maximize internal
logic capabilities and produce system-level performance. Independent modular I/O
banks with a common bank structure for vertical migration lend efficiency and
flexibility to the high speed I/O. Package and die enhancements with dynamic
termination and output control provide best-in-class signal integrity. Numerous I/O
features assist in high-speed data transfer into and out of the device, including:
■ Single-ended, non-voltage-referenced, and voltage-referenced I/O standards
■ Low-voltage differential signaling (LVDS), reduced swing differential signal
(RSDS), mini-LVDS, high-speed transceiver logic (HSTL), and stub series
terminated logic (SSTL)
■ Single data rate (SDR) and half data rate (HDR—half frequency and twice data
width of SDR) input and output options
■ Up to 132-full duplex 1.6-Gbps true LVDS channels (132 Tx + 132 Rx) on the row
I/O banks
■ Hard dynamic phase alignment (DPA) block with serializer/deserializer
(SERDES)
■ De-skew, read and write leveling, and clock-domain crossing functionality
■ Programmable output current strength
■ Programmable slew rate
■ Programmable delay
■ Programmable bus-hold
■ Programmable pull-up resistor
■ Open-drain output
■ Serial, parallel, and dynamic on-chip termination (OCT)
■ Differential OCT
■ Programmable pre-emphasis
■ Programmable differential output voltage (VOD)
Table 7–1. I/O Standard Applications for Stratix III Devices (Part 1 of 2)
I/O Standard Typical Application
3.3-V LVTTL/LVCMOS General purpose
3.0-V LVTTL/LVCMOS General purpose
2.5-V LVTTL/LVCMOS General purpose
1.8-V LVTTL/LVCMOS General purpose
1.5-V LVTTL/LVCMOS General purpose
1.2-V LVTTL/LVCMOS General purpose
3.0-V PCI PC and embedded system
3.0-V PCI-X PC and embedded system
SSTL-2 Class I DDR SDRAM
SSTL-2 Class II DDR SDRAM
SSTL-18 Class I DDR2 SDRAM
SSTL-18 Class II DDR2 SDRAM
SSTL-15 Class I DDR3 SDRAM
SSTL-15 Class II DDR3 SDRAM
HSTL-18 Class I QDR II/RLDRAM II
HSTL-18 Class II QDR II/RLDRAM II
HSTL-15 Class I QDR II/QDR II+/RLDRAM II
HSTL-15 Class II QDR II/QDR II+/RLDRAM II
HSTL-12 Class I General purpose
HSTL-12 Class II General purpose
Differential SSTL-2 Class I DDR SDRAM
Differential SSTL-2 Class II DDR SDRAM
Differential SSTL-18 Class I DDR2 SDRAM
Differential SSTL-18 Class II DDR2 SDRAM
Differential SSTL-15 Class I DDR3 SDRAM
Differential SSTL-15 Class II DDR3 SDRAM
Differential HSTL-18 Class I Clock interfaces
Differential HSTL-18 Class II Clock interfaces
Differential HSTL-15 Class I Clock interfaces
Differential HSTL-15 Class II Clock interfaces
Differential HSTL-12 Class I Clock interfaces
Differential HSTL-12 Class II Clock interfaces
LVDS High-speed communications
RSDS Flat panel display
Table 7–1. I/O Standard Applications for Stratix III Devices (Part 2 of 2)
I/O Standard Typical Application
mini-LVDS Flat panel display
LVPECL Video graphics and clock distribution
Table 7–2. I/O Standards and Voltage Levels for Stratix III Devices (Note 1), (3) (Part 1 of 3)
VCCIO (V)
VC CP D (V) VREF (V)
Input Operation Output Operation VTT (V) (Board
Standard (Pre- (Input
I/O Standard Termination
Support Column Driver Ref
Column I/O Row I/O Row I/O Voltage)
I/O Voltage) Voltage)
Banks Banks Banks
Banks
3.3-V LVTTL JESD8-B 3.3/3.0/2.5 3.3/3.0/2.5 3.3 3.3 3.3 — —
3.3-V LVCMOS JESD8-B 3.3/3.0/2.5 3.3/3.0/2.5 3.3 3.3 3.3 — —
3.0-V LVTTL JESD8-B 3.3/3.0/2.5 3.3/3.0/2.5 3.0 3.0 3.0 — —
3.0-V LVCMOS JESD8-B 3.3/3.0/2.5 3.3/3.0/2.5 3.0 3.0 3.0 — —
2.5-V
JESD8-5 3.3/3.0/2.5 3.3/3.0/2.5 2.5 2.5 2.5 — —
LVTTL/LVCMOS
1.8-V
JESD8-7 1.8/1.5 1.8/1.5 1.8 1.8 2.5 — —
LVTTL/LVCMOS
1.5-V
JESD8-11 1.8/1.5 1.8/1.5 1.5 1.5 2.5 — —
LVTTL/LVCMOS
1.2-V
JESD8-12 1.2 1.2 1.2 1.2 2.5 — —
LVTTL/LVCMOS
3.0-V PCI PCI Rev 2.2 3.0 3.0 3.0 3.0 3.0 — —
3.0-V PCI-X PCI-X Rev 1.0 3.0 3.0 3.0 3.0 3.0 — —
SSTL-2 Class I JESD8-9B (2) (2) 2.5 2.5 2.5 1.25 1.25
SSTL-2 Class II JESD8-9B (2) (2) 2.5 2.5 2.5 1.25 1.25
SSTL-18 Class I JESD8-15 (2) (2) 1.8 1.8 2.5 0.90 0.90
SSTL-18 Class II JESD8-15 (2) (2) 1.8 1.8 2.5 0.90 0.90
SSTL-15 Class I — (2) (2) 1.5 1.5 2.5 0.75 0.75
SSTL-15 Class II — (2) (2) 1.5 — 2.5 0.75 0.75
HSTL-18 Class I JESD8-6 (2) (2) 1.8 1.8 2.5 0.90 0.90
HSTL-18 Class II JESD8-6 (2) (2) 1.8 1.8 2.5 0.90 0.90
HSTL-15 Class I JESD8-6 (2) (2) 1.5 1.5 2.5 0.75 0.75
HSTL-15 Class II JESD8-6 (2) (2) 1.5 — 2.5 0.75 0.75
HSTL-12 Class I JESD8-16A (2) (2) 1.2 1.2 2.5 0.6 0.6
HSTL-12 Class II JESD8-16A (2) (2) 1.2 — 2.5 0.6 0.6
Table 7–2. I/O Standards and Voltage Levels for Stratix III Devices (Note 1), (3) (Part 2 of 3)
VCCIO (V)
VC CP D (V) VREF (V)
Input Operation Output Operation VTT (V) (Board
Standard (Pre- (Input
I/O Standard Termination
Support Column Driver Ref
Column I/O Row I/O Row I/O Voltage)
I/O Voltage) Voltage)
Banks Banks Banks
Banks
Differential
JESD8-9B (2) (2) 2.5 2.5 2.5 — 1.25
SSTL-2 Class I
Differential
JESD8-9B (2) (2) 2.5 2.5 2.5 — 1.25
SSTL-2 Class II
Differential
JESD8-15 (2) (2) 1.8 1.8 2.5 — 0.90
SSTL-18 Class I
Differential
JESD8-15 (2) (2) 1.8 1.8 2.5 — 0.90
SSTL-18 Class II
Differential
— (2) (2) 1.5 1.5 2.5 — 0.75
SSTL-15 Class I
Differential
— (2) (2) 1.5 — 2.5 — 0.75
SSTL-15 Class II
Differential
JESD8-6 (2) (2) 1.8 1.8 2.5 — 0.90
HSTL-18 Class I
Differential
JESD8-6 (2) (2) 1.8 1.8 2.5 — 0.90
HSTL-18 Class II
Differential
JESD8-6 (2) (2) 1.5 1.5 2.5 — 0.75
HSTL-15 Class I
Differential
JESD8-6 (2) (2) 1.5 — 2.5 — 0.75
HSTL-15 Class II
Differential
JESD8-16A (2) (2) 1.2 1.2 2.5 — 0.60
HSTL-12 Class I
Differential
JESD8-16A (2) (2) 1.2 — 2.5 — 0.60
HSTL-12 Class II
ANSI/TIA/
LVDS (6), (8) (2) (2) 2.5 2.5 2.5 — —
EIA-644
RSDS (6), (7), (8) — (2) (2) 2.5 2.5 2.5 — —
mini-LVDS (6),
— (2) (2) 2.5 2.5 2.5 — —
(7), (8)
Table 7–2. I/O Standards and Voltage Levels for Stratix III Devices (Note 1), (3) (Part 3 of 3)
VCCIO (V)
VC CP D (V) VREF (V)
Input Operation Output Operation VTT (V) (Board
Standard (Pre- (Input
I/O Standard Termination
Support Column Driver Ref
Column I/O Row I/O Row I/O Voltage)
I/O Voltage) Voltage)
Banks Banks Banks
Banks
LVPECL — (4) (4) — — 2.5 — —
Notes to Table 7–2:
(1) VCC PD is either 2.5 V, 3.0 V, or 3.3 V. For VCC IO = 3.3 V, VCC PD =3.3 V. For VCC IO = 3.0 V, VCC PD = 3.0 V. For VCC IO = 2.5 V or less, VC CP D = 2.5 V.
(2) Single-ended HSTL/SSTL, differential SSTL/HSTL, and LVDS input buffers are powered by VC CP D .
(3) VCC CLKIN powers the Column I/O bank dedicated clock input pins when configured as differential inputs. Clock input pins on the Column I/O banks
use VCC IO when configured as single-ended inputs.
(4) Column and row I/O banks support LVPECL I/O standards for input operation only on dedicated clock input pins. Clock inputs on column I/O
are powered by VC CC LKIN when configured as differential clock input. Differential clock inputs in row I/O are powered by VCC PD .
(5) Row I/O banks support LVDS outputs using a dedicated output buffer. Column and row I/O banks support emulated LVDS outputs using two
single-ended output buffers and external one-resistor (LVDS_E_1R) and a three-resistor (LVDS_E_3R) network.
(6) Row I/O banks support RSDS and mini-LVDS I/O standards using a true LVDS output buffer without a resistor network.
(7) Column and row I/O banks support emulated-RSDS and mini-LVDS I/O standards using two single-ended output buffers with one-resistor
(RSDS_E_1R and mini-LVDS_E_1R) and three-resistor (RSDS_E_3R and mini-LVDS_E_3R) networks.
(8) The emulated differential output standard that supports the tri-state feature includes: LVDS_E_1R, LVDS_E_3R, RSDS_E_1R, RSDS_E_3R,
Mini_LVDS_E_1R, and Mini_LVDS_E_3R.
f For detailed electrical characteristics of each I/O standard, refer to the DC and
Switching Characteristics of Stratix III Devices chapter.
1 Pins that do not support output operations do not support the programmable current
strength, programmable slew rate, programmable pull-up, bus hold, open-drain, or
on-chip series termination (OCT RS) options.
f For the number of channels available for the LVDS I/O standard, refer to the
High-Speed Differential I/O Interface with DPA in Stratix III Devices chapter.
Figure 7–1. I/O Banks for Stratix III Devices (Note 1), (2), (3), (4), (5), (6), (7), (8), (9)
Bank 6A
Bank 1A
I/O banks 8A, 8B, and 8C support all I/O banks 7A, 7B, and 7C support all
single-ended and differential input single-ended and differential input
and output operation except LVPECL, and output operation except LVPECL,
which is supported on clk input pins only. which is supported on clk input pins only.
Bank 6B
Bank 1B
Bank 6C
HSTL-12 Class I, LVDS, RSDS, mini-LVDS, differential
Bank 1C
Bank 5C
LVPECL standards for input operation on dedicated clock input pins.
Bank 2C
Bank 5B
Bank 2B
I/O banks 3A, 3B, and 3C support all I/O banks 4A, 4B, and 4C support all
single-ended and differential input single-ended and differential input
and output operation except LVPECL,, and output operation except LVPECL,
Bank 2A
Bank 5A
which is supported on clk input pins only.
Table 7–3. Bank Migration Path with Increasing Device Size (Note 1)
Banks Increase in Bank Size (number of I/O)
A 40 48 —
Column I/O B 24 48 —
C 24 32 48
A 32 48 —
Row I/O B 24 36 —
C 24 40 48
Note to Table 7–3:
(1) Number of I/O shown does not include dedicated clock input pins CLK[1,3,8,10][p,n].
Figure 7–2. Number of I/Os in Each Bank in EP3SL50, EP3SL70, and EP3SE50 Devices in 484-Pin
FineLine BGA Package (Note 1), (2),
24
Number
24
of I/Os
Bank
Bank 7C
Bank 8C
Name
24 Bank 1A Bank 6A 24
24 Bank 2A Bank 5A 24
Bank 3C
Bank 4C
Bank
Name
Number
24
24
of I/Os
Figure 7–3. Number of I/Os in Each Bank in the 780-pin FineLine BGA Package (Note 1), (2), (3), (4)
24
Number
40
24
40
of I/Os
Bank
Bank 7C
Bank 8C
Bank 7A
Bank 8A
Name
Bank 3C
Bank 4C
Bank 3A
Bank 4A
Bank
Name
Number
24
24
40
40
of I/Os
Figure 7–4. Number of I/Os in Each Bank in the 1152-pin FineLine BGA Package (Note 1), (2), (3), (4)
Number
32
32
24
40
40
24
of I/Os
Bank 7C
Bank 8C
Bank 7B
Bank 7A
Bank
Bank 8A
Bank 8B
Name
48 Bank 1A Bank 6A 48
EP3SL110
EP3SL150
42 Bank 1C Bank 6C 42
EP3SL200
EP3SL340
EP3SE80
42 Bank 2C Bank 5C 42
EP3SE110
EP3SE260
48 Bank 2A Bank 5A 48
Bank 3C
Bank 4C
Bank 4A
Bank 3A
Bank 3B
Bank 4B
Bank
Name
Number
24
24
40
32
40
32
of I/Os
Figure 7–5. Number of I/Os in Each Bank in EP2SL200, EP3SE260, and EP3SL340 Devices in the 1517-Pin FineLine BGA
Package (Note 1), (2)
Number
32
32
48
48
48
48
of I/Os
Bank
Bank 7C
Bank 8C
Bank 7B
Bank 7A
Bank 8A
Bank 8B
Name
50 Bank 1A Bank 6A 50
24 Bank 1B Bank 6B 24
24 Bank 2B Bank 5B 24
50 Bank 2A Bank 5A 50
Bank 4C
Bank 3C
Bank 3B
Bank 4A
Bank 3A
Bank 4B
Bank
Name
Number
32
32
48
48
48
48
of I/Os
Figure 7–6. Number of I/Os in Each Bank in EP3SL340 Devices in the 1760-pin FineLine BGA Package (Note 1), (2)
Number
48
48
48
48
48
48
of I/Os
Bank 7C
Bank 8C
Bank 7B
Bank 7A
Bank 8A
Bank 8B
Bank
Name
50 Bank 1A Bank 6A 50
36 Bank 1B Bank 6B 36
50 Bank 1C Bank 6C 50
EP3SL340
50 Bank 2C Bank 5C 50
36 Bank 2B Bank 5B 36
50 Bank 2A Bank 5A 50
Bank 4C
Bank 3C
Bank 3B
Bank 4A
Bank 3A
Bank 4B
Bank
Name
Number
48
48
48
48
48
48
of I/Os
Figure 7–7. IOE Structure for Stratix III Devices (Note 1), (2)
Firm Core
OE Register VCCIO
PRN D5, D6
D Q Delay
VCCIO
PCI Clamp
Programmable
Pull-Up Resistor
Programmable
Write Output Register Current From OCT
Data 4 Half Data Strength and Calibration
Alignment PRN
from Rate Block D Q Slew Rate Block
Registers
Core Control
D5, D6 Output Buffer On-Chip
Delay Termination
Output Register
PRN
Open Drain
D Q D2 Delay
D3_0 Input Buffer
clkout Delay
To Bus-Hold
Core Circuit
D1
To D3_1 Input Register
Delay
Core Delay PRN
D Q
Read
Data 4 Alignment and
Half Data
to Synchronization
Rate Block
Core Registers
Input Register Input Register
PRN PRN
D Q D Q
DQS
D4 Delay
CQn
clkin
The output and OE paths are divided into output or OE registers, alignment registers,
and HDR blocks. You can bypass each block of the output and OE path.
f For more information about I/O registers and how they are used for memory
applications, refer to the External Memory Interfaces in Stratix III Devices chapter.
f For more information about absolute maximum rating and maximum allowed
overshoot during transitions, refer to the DC and Switching Characteristics of Stratix III
Devices chapter.
f For more information about external memory interfaces, refer to the External Memory
Interfaces in Stratix III Devices chapter.
1 ×2 mode is supported by the DDR registers and is not included in SERDES. For
Stratix III devices, SERDES can be bypassed in the Quartus II MegaWizardTM Plug-In
Manager for the ALTLVDS megafunction to support DDR (×2) operation.
Stratix III devices have the following dedicated circuitry for high-speed differential
I/O support:
■ Differential I/O buffer
■ Transmitter serializer
■ Receiver deserializer
■ Data realignment
■ DPA
■ Synchronizer (FIFO buffer)
■ Phase-locked loops (PLLs)
f For more information about DPA support, refer to the High-Speed Differential I/O
Interfaces with DPA in Stratix III Devices chapter.
1 You cannot use the programmable slew rate feature when using OCT RS.
The Quartus II software allows four settings for programmable slew rate control—0,
1, 2, and 3—where 0 is slow slew rate and 3 is fast slew rate. Table 7–6 lists the default
setting for the I/O standards supported in the Quartus II software.
You can use faster slew rates to improve the available timing margin in
memory-interface applications or when the output pin has high-capacitive loading.
Altera recommends performing IBIS or SPICE simulations to determine the right slew
rate setting for your specific application.
Programmable Delay
The Stratix III device IOE includes programmable delays (refer to Figure 7–7) that you
can activate to ensure zero hold times, minimize setup times, or increase
clock-to-output times. Each pin can have a different input delay from pin to input
register or a delay from the output register to the output pin values to ensure that the
bus has the same delay going into or out of the device. This feature helps read and
time margins as it minimizes the uncertainties between signals in the bus.
f For the programmable IOE delay specifications, refer to the DC and Switching
Characteristics of Stratix III Devices chapter.
f For the programmable output buffer delay specifications, refer to the DC and
Switching Characteristics of Stratix III Devices chapter.
Open-Drain Output
Stratix III devices provide an optional open-drain output (equivalent to an
open-collector output) for each I/O pin. When configured as open-drain, the logic
value of the output is either high-Z or 0. Typically, an external pull-up resistor is
required to provide logic high.
Bus Hold
Each Stratix III device I/O pin provides an optional bus-hold feature. The bus-hold
circuitry can weakly hold the signal on an I/O pin at its last-driven state. Because the
bus-hold feature holds the last-driven state of the pin until the next input signal is
present, you do not need an external pull-up or pull-down resistor to hold a signal
level when the bus is tri-stated.
The bus-hold circuitry also pulls non-driven pins away from the input threshold
voltage where noise can cause unintended high-frequency switching. You can select
this feature individually for each I/O pin. The bus-hold output drives no higher than
VCCIO to prevent over-driving signals. If the bus-hold feature is enabled, the
programmable pull-up option cannot be used. Disable the bus-hold feature if the I/O
pin is configured for differential signals.
The bus-hold circuitry uses a resistor with a nominal resistance (RBH) of approximately
7 kΩ to weakly pull the signal level to the last-driven state.
f For the specific sustaining current driven through this resistor and the overdrive
current used to identify the next-driven input level, refer to the DC and Switching
Characteristics of Stratix III Devices chapter. This information is provided for each V CCIO
voltage level.
The bus-hold circuitry is active only after configuration. When going into user mode,
the bus-hold circuit captures the value on the pin present at the end of configuration.
1 When the optional DEV_OE signal drives low, all I/O pins remain tri-stated even with
programmable pull-up option enabled.
Programmable Pre-Emphasis
Stratix III LVDS transmitters support programmable pre-emphasis to compensate for
the frequency dependent attenuation of the transmission line. The Quartus II software
allows four settings for programmable pre-emphasis—zero, low, medium, and high.
The default setting is low.
f For more information about programmable V OD, refer to the High Speed Differential I/O
Interfaces with DPA in the Stratix III Devices chapter.
1 For VCCIO = 3.3 V, VCCPD=3.3 V. For VCCIO = 3.0 V, VCCPD = 3.0 V. For VCCIO = 2.5 V or less,
VCCPD = 2.5 V.
Table 7–7. MultiVolt I/O Support for Stratix III Devices (Note 1), (2)
Input Signal (V) Output Signal (V)
VCCIO (V) 1.2 1.5 1.8 2.5 3.0 3.3 1.2 1.5 1.8 2.5 3.0 3.3
1.2 v — — — — — v — — — — —
1.5 — v v (1) — — — — v — — — —
1.8 — v v — — — — — v — — —
2.5 — — — v v (2) v (2) — — — v — —
3.0 — — — v v v — — — — v —
3.3 — — — v v v — — — — — v
Notes to Table 7–7:
(1) The pin current may be slightly higher than the default value. You must verify that the driving device’s VOL maximum and VOH minimum voltages
do not violate the applicable Stratix III VIL maximum and VIH minimum voltage specifications.
(2) Use on-chip PCI clamp diode for column I/Os or external PCI clamp diode for row I/Os to protect the input pins against overshoot voltage.
(3) Each I/O bank of a Stratix III device has its own VCCIO pins and supports only one Vccio , either 1.2, 1.5, 1.8, or 3.0 V. The LVDS I/O standard
requires that a VCCIO of 2.5 V cannot be assigned in a same bank with a 3.0-V or 3.3-V output signal.
OCT Support
Stratix III devices feature dynamic series and parallel on-chip termination to provide
I/O impedance matching and termination capabilities. OCT improves signal quality
over external termination by reducing parasitic, saving board space, and reducing
external component costs.
Stratix III devices support OCT RS with or without calibration, OCT RT with
calibration, and dynamic series and parallel termination for single-ended I/O
standards as well as OCT RD for differential LVDS I/O standards. Stratix III devices
support OCT in all I/O banks by selecting one of the OCT I/O standards.
Stratix III devices support OCT RS and R T in the same I/O bank for different I/O
standards if they use the same VCCIO supply voltage. Each I/O in an I/O bank can be
independently configured to support OCT R S, programmable current strength, or
OCT RT.
1 You cannot configure both OCT R S and programmable current strength for the same
I/O buffer.
A pair of RUP and RDN pins are available in a given I/O bank, and are shared for
series- and parallel-calibrated termination. The RUP and RDN pins share the same VCCIO
and GND, respectively, with the I/O bank where they are located. The RUP and RDN
pins are dual-purpose I/Os, and function as regular I/Os if you do not use the
calibration circuit. When used for calibration, the RUP pin is connected to V CCIO
through an external 25-Ω ±1% or 50-Ω ±1% resistor for an OCT R S value of 25 Ω or 50
Ω, respectively; the RDN pin is connected to GND through an external 25-Ω ±1% or
50-Ω ±1% resistor for an OCT RS value of 25 Ω or 50 Ω, respectively. For OCT RT, the
RUP pin is connected to VCCIO through an external 50-Ω ±1% resistor; the RDN pin is
connected to GND through an external 50-Ω±1% resistor.
Figure 7–8. On-Chip Series Termination without Calibration for Stratix III Devices
RS
ZO = 50 Ω
RS
GND
To use OCT for the SSTL Class I standard, you should select the 50-Ω on-chip series
termination setting, eliminating the external 25-Ω RS (to match the 50-Ω transmission
line). For the SSTL Class II standard, you should select the 25-Ω on-chip series
termination setting (to match the 50-Ω transmission line and the near-end external
50-Ω pull-up to VTT ).
Figure 7–9. On-Chip Series Termination with Calibration for Stratix III Devices
RS
ZO = 50 Ω
RS
GND
Table 7–8 lists I/O standards that support OCT R S with calibration.
Table 7–8. Selectable I/O Standards with On-Chip Series Termination With or Without Calibration
On-Chip Series Termination Setting
I/O Standard
Row I/O Column I/O Unit
50 50 Ω
3.3-V LVTTL/LVCMOS
25 25 Ω
50 50 Ω
3.0-V LVTTL/LVCMOS
25 25 Ω
50 50 Ω
2.5-V LVTTL/LVCMOS
25 25 Ω
50 50 Ω
1.8-V LVTTL/LVCMOS
25 25 Ω
50 Ω
1.5-V LVTTL/LVCMOS 50
25 Ω
50 Ω
1.2-V LVTTL/LVCMOS 50
25 Ω
SSTL-2 Class I 50 50 Ω
SSTL-2 Class II 25 25 Ω
SSTL-18 Class I 50 50 Ω
SSTL-18 Class II 25 25 Ω
SSTL-15 Class I 50 50 Ω
SSTL-15 Class II — 25 Ω
HSTL-18 Class I 50 50 Ω
HSTL-18 Class II 25 25 Ω
HSTL-15 Class I 50 50 Ω
HSTL-15 Class II — 25 Ω
HSTL-12 Class I 50 50 Ω
HSTL-12 Class II — 25 Ω
Table 7–9. Selectable I/O Standards with Expanded On-Chip Series Termination with Calibration Range
Expanded OCT RS range
1 Left shift series termination control is automatically enabled if you use a bidirectional
I/O with 25- Ω calibrated OCT RS and 50- Ω parallel OCT.
f For more information about how to enable left shift series termination in the
ALTIOBUF megafunction, refer to the ALTIOBUF Megafunction User Guide.
Figure 7–10. On-Chip Parallel Termination with Calibration for Stratix III Devices
100 Ω
ZO = 50 Ω
VREF
100 Ω
Transmitter Receiver
GND
The OCT R T calibration circuit compares the total impedance of the I/O buffer to the
external 50-Ω ±1% resistors connected to the RUP and RDN pins and dynamically
enables or disables the transistors until they match. Calibration occurs at the end of
device configuration. When the calibration circuit finds the correct impedance, it
powers down and stops changing the characteristics of the drivers. Table 7–10 lists the
I/O standards that support OCT RT with calibration.
Table 7–10. Selectable I/O Standards that Support On-Chip Parallel Termination with Calibration
On-Chip Parallel On-Chip Parallel
I/O Standard Termination Setting Termination Setting Unit
(Column I/O) (Row I/O)
SSTL-2 Class I, II 50 50 Ω
SSTL-18 Class I, II 50 50 Ω
SSTL-15 Class I, II 50 50 Ω
HSTL-18 Class I, II 50 50 Ω
HSTL-15 Class I, II 50 50 Ω
HSTL-12 Class I, II 50 50 Ω
Differential SSTL-2 Class I, II 50 50 Ω
Differential SSTL-18 Class I, II 50 50 Ω
Differential SSTL-15 Class I, II 50 50 Ω
Differential HSTL-18 Class I, II 50 50 Ω
Differential HSTL-15 Class I, II 50 50 Ω
Differential HSTL-12 Class I, II 50 50 Ω
Dynamic OCT
Stratix III devices support on-off dynamic series and parallel termination for a
bi-directional I/O in all I/O banks. Figure 7–11 shows the termination schemes
supported in the Stratix III device. Dynamic parallel termination is enabled only
when the bi-directional I/O acts as a receiver and is disabled when it acts as a driver.
Similarly, dynamic series termination is enabled only when the bi-directional I/O acts
as a driver and is disabled when it acts as a receiver. This feature is useful for
terminating any high-performance bi-directional path because the signal integrity is
optimized depending on the direction of the data.
You should connect a bi-directional pin that uses both 25-Ω or 50-Ω series termination
and 50-Ω input termination to a calibration block that has a 50-Ω external resistor
connected to its RUP and RDN pins. The 25-Ω series termination on the bi-directional
pin is achieved through internal divide by two circuits.
VCCIO VCCIO
Transmitter Receiver
100 100
50
ZO = 50
100 100
50
GND GND
VCCIO VCCIO
Receiver
100 100
50
ZO = 50
100 100
50
GND
Transmitter
GND
Stratix III OCT Stratix III OCT
f For more information about tolerance specifications for OCT with calibration, refer to
the DC and Switching Characteristics of Stratix III Devices chapter.
ZO = 50 Ω
100 Ω
ZO = 50 Ω
f For more information about OCT RD, refer to the High Speed Differential I/O Interfaces
with DPA in Stratix III Devices chapter.
Table 7–11 lists the assignment name and its value for OCT R D in the Quartus II
software Assignment Editor.
1 You must set the VCCIO to 2.5 V when OCT R D is used for the LVDS input buffer, even if
the LVDS input buffer is powered by VCCPD.
OCT Calibration
Stratix III devices support calibrated OCT R S and calibrated OCT RT on all I/O pins.
You can calibrate the Stratix III I/O bank with any of eight OCT calibration blocks in
EP3SL50, EP3SL70, EP3SL110, EP3SL150, EP3SE50, EP3SE80, and EP3SE110 devices
and ten OCT calibration blocks in EP3SL200, EP3SE260, and EP3SL340 devices.
Figure 7–13. OCT Calibration Block (CB) Location in EP3SL50, EP3SL70, and EP3SE50 Devices (Note 1)
CB 7
CB 9
Bank 7C
Bank 8C
Bank 7A
Bank 8A
CB 0 Bank 1A Bank 6A CB 6
CB 1 Bank 2A Bank 5A CB 5
Bank 3C
Bank 4C
Bank 3A
Bank 4A
CB 2
CB 4
Figure 7–14. OCT Calibration Block (CB) Location in EP3SL110, EP3SL150, EP3SE80, and EP3SE110 Devices (Note 1)
CB 9
CB 7
Bank 8A
Bank 8B
Bank 8C
Bank 7C
Bank 7A
Bank 7B
CB 0 Bank 1A Bank 6A CB 6
CB 1 Bank 2A Bank 5A CB 5
Bank 3C
Bank 4C
Bank 4A
Bank 3A
Bank 3B
Bank 4B
CB 4
CB 2
Figure 7–15. OCT Calibration Block (CB) Location in EP3SL200, EP3SE260 and EP3SL340 (Note 1)
CB 8
CB 9
CB 7
Bank 7C
Bank 8C
Bank 8A
Bank 8B
Bank 7B
Bank 7A
CB 0 Bank 1A Bank 6A CB 6
Bank 1B Bank 6B
Bank 2B Bank 5B
CB 1 Bank 2A Bank 5A CB 5
Bank 3C
Bank 4C
Bank 3B
Bank 4B
Bank 4A
Bank 3A
CB 4
CB 2
CB 3
Figure 7–16. Example of Sharing Multiple I/O Banks with One OCT Calibration Block (Note 1)
CB 7
Bank 8C
Bank 7C
Bank 7A
Bank 8A
Bank 8B
Bank 7B
Bank 1A Bank 6A
Bank 1B Bank 6B
Bank 2B Bank 5B
Bank 2A Bank 5A
Bank 3C
Bank 4C
Bank 3A
Bank 3B
Bank 4B
Bank 4A
Power-Up Mode
In power-up mode, OCT calibration is automatically performed at power up and
calibrated codes are shifted to selected I/O buffers before transitioning to user mode.
User Mode
During user mode, OCTUSRCLK, ENAOCT, nCLRUSR, and ENASER[9..0] signals are
used to calibrate and serially transfer calibrated codes from each OCT calibration
block to any I/O. Table 7–12 lists the user controlled calibration block signal names
and their descriptions.
Table 7–12. OCT Calibration Block Ports for User Control and Description
Signal Name Description
OCTUSRCLK Clock for OCT block.
ENAOCT Enable OCT Termination (generated by user IP).
ENASER[9..0] When ENAOCT = 0, each signal enables the OCT serializer for the
corresponding OCT calibration block.
When ENAOCT = 1, each signal enables OCT calibration for the
corresponding OCT calibration block.
S2PENA_<bank#> Serial-to-parallel load enable per I/O bank.
nCLRUSR Clear user.
Figure 7–17 shows the flow of the user signal. When ENAOCT is 1, all OCT calibration
blocks are in calibration mode, and when ENAOCT is 0, all OCT calibration blocks are
in serial data transfer mode. The OCTUSRCLK clock frequency must be 20 MHz or less.
1 You must generate all user signals on the rising edge of OCTUSRCLK.
Bank 7C
Bank 7A
Bank 8A
Bank 8B
Bank 7B
CB9 CB7
Bank 1A CB8 Bank 6A
CB0 CB6
ENAOCT, nCLRUSR,
Bank 1B Bank 6B
Bank 1C Bank 6C
S2PENA_1C Stratix III S2PENA_6C
Core
Bank 2C Bank 5C
S2PENA_4C
OCTUSRCLK,
Bank 2B ENASER[N] Bank 5B
CB1 CB5
Bank 2A CB3 Bank 5A
CB2 CB4
Bank 3C
Bank 4C
Bank 3A
Bank 3B
Bank 4B
Bank 4A
OCT Calibration
Figure 7–18 shows the user-mode signal-timing waveforms. To calibrate OCT
block[N] (where N is a calibration block number), you must assert ENAOCT one cycle
before asserting ENASER[N]. Also, nCLRUSR must be set to low for one OCTUSRCLK
cycle before ENASER[N] signal is asserted. An asserted ENASER[N] signals for 1000
OCTUSRCLK cycles to perform OCTRS and OCTRT calibration. ENAOCT can be
deasserted one clock cycle after the last ENASER is deasserted.
Figure 7–18. OCT User-Mode Signal Timing Waveform for One OCT Block
OCTUSRCLK
nCLRUSR
28
ENASER0 (1000 OCTUSRCLK cycles)
OCTUSRCLK
Cycles
ts2p (1)
S2PENA_1A
After calibrated codes are shifted serially to the corresponding I/O buffers, they must
be converted from serial format to parallel format before being used in the I/O
buffers. Figure 7–18 shows S2PENA signals that can be asserted at any time to update
the calibration codes in each I/O bank. All I/O banks that received the codes from the
same OCT calibration block can have S2PENA asserted at the same time, or at a
different time, even while another OCT calibration block is calibrating and serially
shifting codes. The S2PENA signal is asserted one OCTUSRCLK cycle after ENASER is
deasserted for at least 25 ns. You cannot use I/Os for transmitting or receiving data
when their S2PENA is asserted for parallel codes transfer.
Figure 7–19. OCT User-Mode Signal Timing Waveform for Two OCT Blocks
OCTUSRCLK
Calibration Phase
ENAOCT
nCLRUSR
1000 OCTUSRCLK 28 OCTUSRCLK
ENASER0 CY CLE S CY CLE S
ts2p (1)
S2PENA_1A (2)
ts2p (1)
S2PENA_2A (3)
RS Calibration
If only RS calibration is used for an OCT calibration block, its corresponding ENASER
signal must be asserted for 240 OCTUSRCLK cycles for calibration.
1 You still have to assert the ENASER signal for 28 OCTUSRCLK cycles for serial transfer.
f For more information, refer to the ALT_OCT Megafunction User Guide and AN 465:
Implementing OCT Calibration in Stratix III Devices.
Figure 7–20. SSTL I/O Standard Termination for Stratix III Devices
VTT VTT
VTT
50 50
External 50
25 25
On-Board 50
50
Termination VREF
VREF
Figure 7–21. HSTL I/O Standard Termination for Stratix III Devices
External 50 50 50
On-Board
Termination 50 50
VREF VREF
VTT
VCCIO Stratix III VCCIO Stratix III
Parallel OCT Parallel OCT
100 50 100
OCT 50 50
Receive VREF
VREF
100
100
Transmitter Receiver Transmitter Receiver
1 Differential HSTL and SSTL outputs are not true differential outputs. They use two
single-ended outputs with the second output programmed as inverted.
Figure 7–22. Differential SSTL I/O Standard Termination for Stratix III Devices
50 50 50 50 50 50
External
On-Board 25 25
Termination 50 50
25 25
50 50
100 50 100
OCT Z0= 50 Z0= 50
100 100
VCCIO VTT VCCIO
GND GND
100 50 100
Z0= 50 Z0= 50
100 100
GND GND
Transmitter Receiver Transmitter Receiver
Figure 7–23. Differential HSTL I/O Standard Termination for Stratix III Devices
50 Ω 50 Ω 50 Ω 50 Ω 50 Ω 50 Ω
External
On-Board 50 Ω 50 Ω
Termination
50 Ω 50 Ω
100 Ω 50 Ω 100 Ω
Z0= 50 Ω Z0= 50 Ω
100 Ω 100 Ω
VCCIO VTT VCCIO
OCT GND GND
100 Ω 50 Ω 100 Ω
Z0= 50 Ω Z0= 50 Ω
100 Ω 100 Ω
GND GND
Transmitter Receiver Transmitter Receiver
LVDS
The LVDS I/O standard is a differential high-speed, low-voltage swing, low-power,
general-purpose I/O interface standard. In Stratix III devices, the LVDS I/O standard
requires a 2.5-V VCCIO level. The LVDS input buffer requires 2.5-V VCCPD. Use this
standard in applications requiring high-bandwidth data transfer, backplane drivers,
and clock distribution. LVDS requires a 100-Ω termination resistor between the two
signals at the input buffer. Stratix III devices provide an optional 100-Ω differential
termination resistor in the device using on-chip differential termination.
Figure 7–24 shows the details of LVDS termination. The OCT R D is only available in
row I/O banks.
Figure 7–24. LVDS I/O Standard Termination for Stratix III Devices (Note 1)
Termination LVDS
External On-Board 50
Termination 100
50
OCT Receive 50
(True LVDS 100
Output) 50
(2)
Stratix III OCT
Differential LVPECL
In Stratix III devices, the LVPECL I/O standard is supported on input clock pins on
column and row I/O banks. LVPECL output operation is not supported by Stratix III
devices. LVDS input buffers are used to support LVPECL input operation. AC
coupling is required when LVPECL common mode voltage of the output buffer is
higher than Stratix III LVPECL input common mode voltage. Figure 7–25 shows the
AC coupled termination scheme. The 50-Ω resistors used at the receiver end are
external to the device.
DC-coupled LVPECL is supported if the driving device’s LVPECL output common
mode voltage is within the Stratix III LVPECL input buffer specification (see
Figure 7–26).
0.1 μF
ZO = 50 Ω
VICM 50 Ω
50 Ω
ZO = 50 Ω
0.1 μF
ZO = 50 Ω
100 Ω
ZO = 50 Ω
RSDS
The row I/O banks support RSDS output using true LVDS output buffers without an
external resistor network. The column I/O banks support RSDS output using two
single-ended output buffers with the external one- or three-resistor networks, as
shown in Figure 7–27.
Figure 7–27. RSDS I/O Standard Termination for Stratix III Devices (Note 1), (2)
≤1 inch
≤1 inch
50Ω RS
External RP 100 Ω 50 Ω
RP 100 Ω
On-Board 50Ω
50 Ω
Termination RS
A resistor network is required to attenuate the LVDS output-voltage swing to meet the
RSDS specifications. You can modify the three-resistor network values to reduce
power or improve the noise margin. The resistor values chosen should satisfy
Equation 7–1:
Equation 7–1.
Rp
Rs × ------
2- = 50Ω
-------------------
Rp
Rs + ------
2
Altera recommends that you perform additional simulations using IBIS models to
validate that custom resistor values meet the RSDS requirements.
f For more information about the RSDS I/O standard, refer to the RSDS Specification
from the National Semiconductor website.
Mini-LVDS
The row I/O banks support mini-LVDS output using true LVDS output buffers
without an external resistor network. The column I/O banks support mini-LVDS
output using two single-ended output buffers with the external one- or three-resistor
network, as shown in Figure 7–28.
Figure 7–28. Mini-LVDS I/O Standard Termination for Stratix III Devices (Note 1), (2)
≤1 inch ≤1 inch
External RS
50 Ω 50 Ω
On-Board R
P 100 Ω R 100 Ω
Termination P
50Ω 50 Ω
RS
A resistor network is required to attenuate the LVDS output voltage swing to meet the
mini-LVDS specifications. You can modify the three-resistor network values to reduce
power or improve the noise margin. The resistor values chosen should satisfy
Equation 7–2:
Equation 7–2.
Rp
Rs × ------
2- = 50Ω
-------------------
Rp
Rs + ------
2
Altera recommends that you perform additional simulations using IBIS models to
validate that custom resistor values meet the RSDS requirements.
f For more information about the mini-LVDS I/O standard, refer to the mini-LVDS
Specification from the Texas Instruments website.
Design Considerations
While Stratix III devices feature various I/O capabilities for high-performance and
high-speed system designs, there are several other considerations that require
attention to ensure the success of those designs.
I/O Termination
I/O termination requirements for single-ended and differential I/O standards are
discussed in this section.
f For PCB layout guidelines, refer to AN 224: High-Speed Board Layout Guidelines and
AN 315: Guidelines for Designing High-Speed FPGA PCBs.
Non-Voltage-Referenced Standards
Each Stratix III device I/O bank has its own VCCIO pins and supports only one VCCIO,
either 1.2, 1.5, 1.8, 2.5, 3.0, or 3.3 V. An I/O bank can simultaneously support any
number of input signals with different I/O standard assignments, as listed in
Table 7–2.
For output signals, a single I/O bank supports non-voltage-referenced output signals
that are driving at the same voltage as VCCIO. Since an I/O bank can only have one
VCCIO value, it can only drive out that one value for non-voltage-referenced signals.
For example, an I/O bank with a 2.5-V VCCIO setting can support 2.5-V standard inputs
and outputs and 3-V LVCMOS inputs (not output or bi-directional pins).
Voltage-Referenced Standards
To accommodate voltage-referenced I/O standards, each Stratix III device I/O bank
has one VREF pin feeding a common VREF bus. If it is not used as a VREF pin, it cannot
be used as a generic I/O pin and should be tied to VCCIO or GND. Each bank can only
have a single VCCIO voltage level and a single VREF voltage level at a given time.
An I/O bank featuring single-ended or differential standards can support
voltage-referenced standards as long as all voltage-referenced standards use the same
VREF setting.
For performance reasons, voltage-referenced input standards use their own V CCPD level
as the power source. This feature allows you to place voltage-referenced input signals
in an I/O bank with a V CCIO of 2.5 or below. For example, you can place HSTL-15 input
pins in an I/O bank with a 2.5-V VCCIO. However, voltage-referenced input with
parallel OCT enabled requires the VCCIO of the I/O bank to match the voltage of the
input standard.
Voltage-referenced bi-directional and output signals must be the same as the I/O
bank’s VCCIO voltage. For example, you can only place SSTL-2 output pins in an I/O
bank with a 2.5-V VCCIO.
f For pin connection guidelines, refer to the Stratix III Device Family Pin Connection
Guidelines.
SIII51008-1.9
The Stratix ® III I/O structure has been completely redesigned to provide flexible,
high-performance support for existing and emerging external memory standards.
These include high-performance double data rate (DDR) memory standards such as
DDR3, DDR2, DDR SDRAM, QDR II+, QDR II SRAM, and RLDRAM II.
Packed with features such as dynamic on-chip termination (OCT), trace mismatch
compensation, read and write leveling, half data rate (HDR) blocks, and 4- to 36- bit
programmable DQ group widths, Stratix III I/O elements provide easy-to-use built-in
functionality required for a rapid and robust implementation.
DDR external memory support is found on all sides of the Stratix III FPGA. Stratix III
devices provide an efficient architecture to quickly and easily fit wide
external–memory interfaces with the new small modular I/O bank structure.
A self-calibrating megafunction (ALTMEMPHY) is optimized to take advantage of the
Stratix III I/O structure, along with the Quartus® II software’s TimeQuest Timing
Analyzer, which provides the total solution for the highest reliable frequency of
operation across process, voltage, and temperature (PVT) variations.
f While this chapter describe the silicon capability of Stratix III devices, for more
information about the external memory system specifications, implementation, board
guidelines, timing analysis, simulation, and design debugging, refer to the
Literature: External Memory Interfaces section of the Altera website.
Figure 8–1 shows a package bottom view for Stratix III external memory support,
showing the phase-locked loop (PLL), delay-locked loop (DLL), and I/O banks. The
number of available I/O banks and PLLs depend on the device density.
Figure 8–1. Package Bottom View for Stratix III Devices (Note 1), (2)
DLL0 DLL3
8A 8B 8C PLL_T1 PLL_T2 7C 7B 7A
PLL_L1 PLL_R1
1A 6A
1B 6B
1C 6C
PLL_L2 PLL_R2
PLL_L3 PLL_R3
2C 5C
2B 5B
2A 5A
PLL_L4 PLL_R4
3A 3B 3C PLL_B1 PLL_B2 4C 4B 4A
DLL1 DLL2
Figure 8–2 shows an overview of the memory interface data path that uses all the
Stratix III I/O Element (IOE) features.
Figure 8–2. External Memory Interface Data Path Overview (Note 1), (2), (3)
DQS Logic
DLL Block DQS (Read)
Postamble Enable
Postamble
Control DQS Enable
Postamble Clock Circuit Circuit
4n 2n 2n
FIFO Alignment &
Half Data Rate DDR Input
(2) Synchronization
Input Registers Registers n
Registers DQ (Read)
Resynchronization Clock
n
4n 2n 2n DQ (Write)
Half Data Rate Alignment DDR Output
Output Registers Registers Registers
Half-Rate
Resynchronization
Clock
4 2 2 DQS (Write)
Half Data Rate Alignment DDR Output
Clock Management & Reset DQ Write Clock Output Registers Registers Registers
Half-Rate Clock
Alignment Clock
This chapter describes the hardware features in Stratix III devices that facilitate
high-speed memory interfacing for each DDR memory standard. Stratix III devices
feature DLLs, PLLs, dynamic OCT, read and write leveling, and deskew ciruitry.
f For more information on memory interfaces, refer to the Stratix III Pin Connection
Guidelines.
1 Use differential DQS signaling for DDR2 SDRAM interfaces running higher than
333 MHz.
1 For DDR3 and DDR2 SDRAM application, pseudo-differential DQS signaling is used
for write operation.
Stratix III DDR memory interface data pins are called DQ pins. DQ pins can be
bi-directional signals (in DDR3, DDR2, and DDR SDRAM, and RLDRAM II common
I/O (CIO) interfaces), or uni-directional signals (in QDR II+, QDR II SRAM, and
RLDRAM II separate I/O (SIO) devices). Connect the uni-directional read data signals
to Stratix III DQ pins and the uni-directional write data signals to a different DQS/DQ
group other than the read DQS/DQ group. You must assign the write clocks to the
DQS/DQSn pins associated to this write DQS/DQ group. Do not use the CQ/CQn
pin-pair for write clocks.
1 Using a DQS/DQ group for write data signals minimizes output skew, allows access
to the write leveling circuitry (for DDR3 SDRAM interfaces), and allows for vertical
migration. These pins also have access to deskewing circuitry that can compensate for
delay mismatch between signals on the bus.
f For more information about pin planning, refer to Section I. Device and Pin Planning
chapter in volume 2 of the External Memory Interface Handbook.
The DQS and DQ pin locations are fixed in the pin table. Memory interface circuitry is
available in every Stratix III I/O bank. All memory interface pins support the I/O
standards required to support DDR3, DDR2, DDR SDRAM, QDR II+, QDR II SRAM,
and RLDRAM II devices.
The Stratix III device supports DQS and DQ signals with DQ bus modes of ×4, ×8/×9,
×16/×18, or ×32/×36, although not all devices support DQS bus mode ×32/×36.
When any of these pins are not used for memory interfacing, you can use them as user
I/Os. In addition, you can use any DQSn or CQn pins not used for clocking as DQ
(data) pins. Table 8–1 lists pin support per DQS/DQ bus mode, including the
DQS/CQ and DQSn/CQn pin pair.
Table 8–1. DQS and DQ Bus Mode Pins for Stratix III Devices
Typical Maximum
Parity or DM QVLD Number of Number of
Mode DQSn Support CQn Support
(Optional) (Optional) (1) Data Pins Data Pins per
per Group Group (2)
×4 Yes No No(3) No 4 5
×8/×9 (4) Yes Yes Yes Yes 8 or 9 11
×16/×18 (5) Yes Yes Yes Yes 16 or 18 23
×32/×36 (6) Yes Yes Yes Yes 32 or 36 47
Notes to Table 8–1:
(1) The QVLD pin is not used in the ALTMEMPHY megafunction.
(2) This represents the maximum number of DQ pins (including parity, data mask, and QVLD pins) connected to the DQS bus network with
single-ended DQS signaling. When you use differential or complementary DQS signaling, the maximum number of data per group decreases
by one. This number may vary per DQS/DQ group in a particular device. Check with the pin table for the accurate number per group. For DDR3,
DDR2, and DDR interfaces, the number of pins is further reduced for interfaces larger than ×8 mode because a DQS pin for each ×8/×9 group
that is used to form the ×16/×18 and ×32/×36 groups is required.
(3) The DM pin can be supported if differential DQS is not used and the group does not have additional signals.
(4) Two ×4 DQS/DQ groups are stitched to make a ×8/×9 group, so there are a total of 12 pins in this group.
(5) Four ×4 DQS/DQ groups are stitched to make a ×16/×18 group.
(6) Eight ×4 DQS/DQ groups are stitched to make a ×32/×36 group.
Using RUP /RDN Pins in a DQS/DQ Group Used for Memory Interfaces
You can also use DQS/DQSn pins in some of the ×4 groups as R UP/R DN pins (listed in
Table 8–1). You cannot use a ×4 DQS/DQ group for memory interfaces if any of its pin
members are being used as RUP and RDN pins for OCT calibration. You may be able to
use the ×8/×9 group that includes this ×4 DQS/DQ group, if either of the following
applies:
■ You are not using DM pins with your differential DQS pins
■ You are not using complementary or differential DQS pins
This is because a DQS/DQ ×8/×9 group is comprised of 12 pins, as the groups are
formed by stitching two DQS/DQ groups in ×4 mode with six total pins each
(refer to Table 8–1). A typical ×8 memory interface consists of one DQS, one DM, and
eight DQ pins which add up to 10 pins. If you choose your pin assignment carefully,
you can use the two extra pins for RUP and RDN. In a DDR3 SDRAM interface, you
have to use differential DQS, which means that you only have one extra pin. In this
case, pick different pin locations for the RUP and RDN pins (for example, in the bank
that contains the address and control/command pins).
You cannot use RUP and RDN pins shared with DQS/DQ group pins when using ×9
QDR II+/QDR II SRAM devices, as the RUP and RDN pins may have dual purpose
with the CQn pins. In this case, pick different pin locations for RUP and RDN pins to
avoid conflicts with the memory interface pin placement. In this case, you have the
choice of placing the RUP and RDN pins in the data-write group or in the same bank as
the address and control/command pins. There is no restriction when using ×16/×18
or ×32/×36 DQS/DQ groups that include the ×4 groups whose pin members are
being used as RUP and RDN pins, because there are enough extra pins that you can use
as DQS pins.
You must pick your DQS and DQ pins manually for the ×8, ×16/×18, or ×32/×36
DQS/DQ group whose members are being used for RUP and RDN because the
Quartus II software might not be able to place this correctly when there are no specific
pin assignments and might give you a “no-fit” instead.
Table 8–2 lists the maximum number of DQS/DQ groups per side of the Stratix III
device. For a more detailed listing of the number of DQS/DQ groups available per
bank in each Stratix III device, refer to Figure 8–3 through Figure 8–7. These figures
represent the package bottom view of the Stratix III device.
Table 8–2. Number of DQS/DQ Groups in Stratix III Devices per Side (Part 1 of 2)
Device Package Side ×4 (1) ×8/×9 ×16/×18 ×32/×36 (2)
Left/ Right 12 4 0 0
484-pin FineLine BGA Top/
EP3SE50 5 2 0 0
Bottom
EP3SL50
EP3SL70 Left/ Right 14 6 2 0
780-pin FineLine BGA Top/
17 8 2 0
Bottom
Left/ Right 14 6 2 0
EP3SE80 780-pin FineLine BGA Top/
17 8 2 0
EP3SE110 Bottom
EP3SL110 Left/ Right 26 12 4 0
EP3SL150 1152-pin FineLine BGA Top/
26 12 4 0
Bottom
Left/ Right 14 6 2 0
780-pin Hybrid FineLine BGA Top/
17 8 2 0
Bottom
Left/ Right 26 12 4 0
EP3SL200 1152-pin FineLine BGA Top/
26 12 4 0
Bottom
Left/ Right 34 16 6 0
1517-pin FineLine BGA Top/
38 18 8 4
Bottom
Left/ Right 14 6 2 0
780-pin Hybrid FineLine BGA Top/
17 8 2 0
Bottom
Left/ Right 26 12 4 0
EP3SE260 1152-pin FineLine BGA Top/
26 12 4 0
Bottom
Left/ Right 34 16 6 0
1517-pin FineLine BGA Top/
38 18 8 4
Bottom
Table 8–2. Number of DQS/DQ Groups in Stratix III Devices per Side (Part 2 of 2)
Device Package Side ×4 (1) ×8/×9 ×16/×18 ×32/×36 (2)
Left/ Right 26 12 4 0
1152-pin Hybrid FineLine BGA Top/
26 12 4 0
Bottom
Left/ Right 34 16 6 0
EP3SL340 1517-pin FineLine BGA Top/
38 18 8 4
Bottom
Left/ Right 40 18 6 0
1760-pin FineLine BGA Top/
44 22 10 4
Bottom
Notes to Table 8–2:
(1) Some of the ×4 groups may use configuration or RUP/RDN pins. You cannot use these ×4 groups if the pins are used for configuration or as
RUP and RDN pins for OCT calibration.
(2) To interface with a ×36 QDR II+/QDR II SRAM device in a Stratix III FPGA that does not support the ×32/×36 DQS/DQ group, refer to the Device,
Pin, and Board Layout Guidelines in volume 2 of the External Memory Interface Handbook.
Figure 8–3. Number of DQS/DQ Groups per Bank in EP3SE50, EP3SL50, and EP3SL70 Devices in the 484-pin FineLine BGA
Package (Note 1)
Figure 8–4. Number of DQS/DQ Groups per Bank in EP3SE50, EP3SL50, EP3SL70, EP3SE80, EP3SE110, EP3SL110,
EP3SL150, EP3SL200, and EP3SE260 Devices in the 780-pin FineLine BGA Package (Note 1)
I/O Bank 8A (2) I/O Bank 8C (2) I/O Bank 7C I/O Bank 7A (2)
I/O Bank 3A (2) I/O Bank 3C (2) I/O Bank 4C I/O Bank 4A (2)
Figure 8–5. Number of DQS/DQ Groups in EP3SE80, EP3SE110, EP3SL110, EP3SL150, EP3SL200, EP3SE260, and
EP3SL340 Devices in the 1152-pin FineLine BGA Package (Note 1)
I/O Bank 8A (2) I/O Bank 8B I/O Bank 8C (2) I/O Bank 7C I/O Bank 7B I/O Bank 7A (2)
40 User I/Os 24 User I/Os 32 User I/Os 32 User I/Os 24 User I/Os 40 User I/Os
DLL0 x4=6 x4=4 x4=3 x4=3 x4=4 x4=6 DLL3
x8/x9=3 x8/x9=2 x8/x9=1 x8/x9=1 x8/x9=2 x8/x9=3
x16/x18=1 x16/x18=1 x16/x18=0 x16/x18=0 x16/x18=1 x16/x18=1
I/O Bank 3A (2) I/O Bank 3B I/O Bank 3C (2) I/O Bank 4C I/O Bank 4B I/O Bank 4A (2)
40 User I/Os 24 User I/Os 32 User I/Os 32 User I/Os 24 User I/Os 40 User I/Os
DLL1 x4=6 x4=4 x4=3 x4=3 x4=4 x4=6 DLL2
x8/x9=3 x8/x9=2 x8/x9=1 x8/x9=1 x8/x9=2 x8/x9=3
x16/x18=1 x16/x18=1 x16/x18=0 x16/x18=0 x16/x18=1 x16/x18=1
Figure 8–6. Number of DQS/DQ Groups per Bank in EP3SL200, EP3SE260 and EP3SL340 Devices in the 1517-pin FineLine
BGA Package
I/O Bank 8A (1) I/O Bank 8B I/O Bank 8C (1) I/O Bank 7C I/O Bank 7B I/O Bank 7A (1)
48 User I/Os 48 User I/Os 32 User I/Os 32 User I/Os 48 User I/Os 48 User I/Os
x4=8 x4=8 x4=3 x4=3 x4=8 x4=8
DLL0 DLL3
x8/x9=4 x8/x9=4 x8/x9=1 x8/x9=1 x8/x9=4 x8/x9=4
x16/x18=2 x16/x18=2 x16/x18=0 x16/x18=0 x16/x18=2 x16/x18=2
x32/x36=1 x32/x36=1 x32/x36=0 x32/x36=0 x32/x36=1 x32/x36=1
Figure 8–7. DQS/DQ Bus Mode Support per Bank in EP3SL340 Devices in the 1760-pin FineLine BGA Package
I/O Bank 8A (1) I/O Bank 8B I/O Bank 8C (1) I/O Bank 7C I/O Bank 7B I/O Bank 7A (1)
48 User I/Os 48 User I/Os 48 User I/Os 48 User I/Os 48 User I/Os 48 User I/Os
x4=8 x4=8 x4=6 x4=6 x4=8 x4=8 DLL3
DLL0
x8/x9=4 x8/x9=4 x8/x9=3 x8/x9=3 x8/x9=4 x8/x9=4
x16/x18=2 x16/x18=2 x16/x18=1 x16/x18=1 x16/x18=2 x16/x18=2
x32/x36=1 x32/x36=1 x32/x36=0 x32/x36=0 x32/x36=1 x32/x36=1
I/O Bank 3A (1) I/O Bank 3B I/O Bank 3C (1) I/O Bank 4C I/O Bank 4B I/O Bank 4A (1)
48 User I/Os 48 User I/Os 48 User I/Os 48 User I/Os 48 User I/Os 48 User I/Os
DLL1 x4=8 x4=8 x4=6 x4=6 x4=8 x4=8 DLL2
x8/x9=4 x81/x9=4 x8/x9=3 x8/x9=3 x8/x9=4 x8/x9=4
x16/x18=2 x16/x18=2 x16/x18=1 x16/x18=1 x16/x18=2 x16/x18=2
x32/x36=1 x32/x36=1 x32/x36=0 x32/x36=0 x32/x36=1 x32/x36=1
The DQS and DQSn pins are listed in the Stratix III pin tables as
DQSXY and DQSnXY, respectively, where X denotes the DQS/DQ grouping number,
and Y denotes whether the group is located on the top (T), bottom (B), left (L), or right
(R) side of the device.
The corresponding DQ pins are marked as DQXY, where X indicates which DQS
group the pins belong to and Y indicates whether the group is located on the top (T),
bottom (B), left (L), or right (R) side of the device. For example, DQS1L indicates a
DQS pin, located on the left side of the device. Refer to Figure 8–8 for an illustration.
The DQ pins belonging to that group are shown as DQ1L in the pin table.
The numbering scheme starts from the top-left side of the device going
counter-clockwise. Figure 8–8 shows how the DQS/DQ groups are numbered in a
package bottom view of the device. The top and bottom sides of the device can
contain up to 44 ×4 DQS/DQ groups. The left and right sides of the device can contain
up to 40 ×4 DQS/DQ groups.
The parity, DM, BWSn, NWSn, ECC, and QVLD pins are shown as DQ pins in the pin
table. When not used as memory interface pins, these pins are available as regular I/O
pins.
DLL0 DLL3
PLL_T1 PLL_T2
PLL_L1 PLL_R1
8A 8B 8C 7C 7B 7A
DQS1L DQS40R
1A 6A
1B 6B
1C 6C
DQS20L DQS21R
PLL_L2 PLL_R2
PLL_L3 PLL_R3
DQS21L DQS20R
2C 5C
2B 5B
2A 5A
DQS40L DQS1R
3A 3B 3C 4C 4B 4A
PLL_L4 PLL_R4
PLL_B1 PLL_B2
DLL1 DLL2
Similarly, in ×16/×18 mode, the I/O bank combines four adjacent ×4 DQS/DQ
groups to create a group with a maximum of 19 DQ pins (including parity or DM and
QVLD pins) and a pair of DQS/CQ and DQSn/CQn pins. In ×32/×36 mode, the I/O
bank combines eight adjacent ×4 DQS DQ groups together to create a group with a
maximum of 37 DQ pins (including parity or DM and QVLD pins) and a pair of
DQS/CQ and DQSn/CQn pins.
Stratix III modular I/O banks allow easy formation of the DQS/DQ groups. If all the
pins in the I/O banks are user I/O pins and are not used for programming, RUP/RDN
used for OCT calibration, or PLL clock output pins, you can divide the number of I/O
pins in the bank by six to get the maximum possible number of ×4 groups. You can
then divide that number by two, four, or eight to get the maximum possible number
of ×8/×9, ×16/×18, or ×32/×36, respectively (refer to Table 8–3). However, some of
the pins in the I/O bank may be used for other functions.
Combining ×16/×18 DQS/DQ groups for ×36 QDR II+/QDR II SRAM Interface
This implementation combines two ×16/×18 DQS/DQ groups to interface with a ×36
QDR II+/QDR II SRAM device. The ×36 read data bus uses two ×16/×18 groups,
while the ×36 write data uses another two ×16/×18 groups or four ×8/×9 groups. The
CQ/CQn signal traces are split on the board trace to connect two pairs of DQS/CQn
pins in the FPGA. This is the only connection on the board that you need to change for
this implementation. Other QDR II+/QDR II SRAM interface rules for Stratix III
devices also apply for this implementation.
1 Altera’s ALTMEMPHY megafunction does not use the QVLD signal, so you can leave
the QVLD signal unconnected as in any QDR II+/QDR II SRAM interfaces in the
Stratix III devices.
1 Splitting the read or write data bus over more than one device edge is not
recommended.
Table 8–4. I/O Sub-Bank Combinations for Stratix III Devices that do not have ×36 Groups to form two ×36 Groups.
Package Device I/O Sub-Bank Combinations
780-pin FineLine BGA EP3SL50, EP3SL70, ■ 1A and 2A
EP3SE80, EP3SE110, ■ 5A and 6A
EP3SL110, EP3SL150,
EP3SL200, and EP3SE260 ■ 3A and 4A
■ 7A and 8A
1152-pin FineLine BGA EP3SE80, EP3SE110, ■ 1A and 1C
EP3SL110, EP3SL150, ■ 2A and 2C
EP3SL200, EP3SE260, and
EP3SL340 ■ 3A and 3B
■ 4A and 4B
■ 5A and 5C
■ 6A and 6C
■ 7A and 7B
■ 8A and 8B
1517-pin FineLine BGA EP3SL200, EP3SE260, and ■ 1A and 1B
EP3SL340 ■ 2A and 2B or 1B and 1C
■ 2B and 2C (2)
■ 5A and 5B
■ 6A and 6B or 5B and 5C
■ 6B and 6C (2)
1760-pin FineLine BGA EP3SL340 ■ 1A and 1B
(1) ■ 2A and 2B or 1B and 1C
■ 2B and 2C (2)
■ 5A and 5B
■ 6A and 6B or 5B and 5C
■ 6B and 6C (2)
Notes to Table 8–4:
(1) This device supports ×36 DQ/DQS groups on the top and bottom I/O banks natively.
(2) You can combine the ×16/×18 DQ/DQS groups from I/O banks 1A and 1C, 2A and 2C, 5A and 5C, 6A and 6C. However, this process is
discouraged because of the size of the package. Similarly, crossing a bank number (for example combining groups from I/O banks 6C and 5C)
is not supported in this package.
f For more information about which pins to use for memory clock pins, refer to the
Section I. Device and Pin Planning chapter in volume 2 of the External Memory Interface
Handbook.
Figure 8–9 shows the memory clock generation block diagram for Stratix III devices.
VCC
D Q
CK or DK or K (2)
D Q
1 When using the Altera memory controller MegaCore® functions, the PHY is
instantiated for you.
Figure 8–10. DQS and CQn Pins and DQS Phase-Shift Circuitry (Note 1)
DQS/CQ CQn DQS/CQ CQn
Pin Pin Pin Pin
DLL DLL
Reference Reference
Clock (2) DQS Logic Clock (2)
Blocks
%t %t %t %t
DQS DQS
Phase-Shift Phase-Shift
Circuitry Circuitry
to IOE to IOE to IOE to IOE
DQS Logic
Blocks
to
%t CQn
IOE Pin
DQS/CQ to
%t
Pin IOE
to DQS/CQ
%t
IOE Pin
CQn to
%t
Pin IOE
to CQn
%t
IOE Pin
DQS/CQ to
Pin %t
IOE
to
%t DQS/CQ
IOE Pin
CQn %t to
Pin IOE
%t %t %t %t
DLL DLL
Reference Reference
Clock (2) Clock (2)
CQn DQS/CQ CQn DQS/CQ
Pin Pin Pin Pin
DQS phase-shift circuitry is connected to the DQS logic blocks that control each
DQS/CQ or CQn pin. The DQS logic blocks allow the DQS delay settings to be
updated concurrently at every DQS/CQ or CQn pin.
DLL
DQS phase-shift circuitry uses a DLL to dynamically measure the clock period
required by the DQS/CQ and CQn pin. The DLL, in turn, uses a frequency reference
to dynamically generate control signals for the delay chains in each of the DQS/CQ
and CQn pins, allowing it to compensate for PVT variations. The DQS delay settings
are Gray-coded to reduce jitter when the DLL updates the settings. The phase-shift
circuitry requires a maximum of 1280 clock cycles to lock and calculate the correct
input clock period when the DLL is in low jitter mode. Otherwise, only 256 clock
cycles are required. Do not send data during these clock cycles because there is no
guarantee it can be properly captured. As the settings from the DLL may not be stable
until this lock period has elapsed, you should be aware that anything using these
settings (including the leveling delay system) may be unstable during this period.
1 Use the DQS phase-shift circuitry for any memory interfaces that are less than
100 MHz. The DQS signal is shifted by 2.5 ns. Even if the DQS signal is not shifted
exactly to the middle of the DQ valid window, the I/O element should still be able to
capture the data in low frequency applications where a large amount of timing
margin is available.
There are four DLLs in a Stratix III device, located in each corner of the device. These
DLLs support a maximum of four unique frequencies, with each DLL running at one
frequency. Each DLL can have two outputs with different phase offsets, which allow
one Stratix III device to have eight different DLL phase shift settings. Figure 8–11
shows the DLL and I/O bank locations in Stratix III devices from a package bottom
view.
Figure 8–11. Stratix III DLL and I/O Bank Locations (Package Bottom View)
6
DLL0 6 DLL3
6
6
1A 6A
1B 6B
1C 6C
PLL_L2 PLL_R2
PLL_L3 PLL_R3
2C 5C
2B 5B
2A 5A
6
6
DLL1 6 DLL2
6
The DLL can access the two adjacent sides from its location within the device. For
example, DLL0 on the top left of the device can access the top side (I/O banks 7A, 7B,
7C, 8A, 8B, and 8C) and the left side of the device (I/O banks 1A, 1B, 1C, 2A, 2B, and
2C). This means that each I/O bank is accessible by two DLLs, giving more flexibility
to create multiple frequencies and multiple-type interfaces. For example, you can
design an interface spanning one side of the device or within two sides adjacent to the
DLL. The DLL outputs the same DQS delay settings for both sides of the device
adjacent to the DLL.
Each bank can use settings from either or both DLLs that the bank is adjacent to. For
example, DQS1L can get its phase-shift settings from DLL0, while DQS2L can get its
phase-shift settings from DLL1. Table 8–5 lists the DLL location and supported I/O
banks for Stratix III devices.
1 You can only have one memory interface in each I/O sub-bank (such as I/O
sub-banks 1A, 1B, and 1C) when you use leveling delay chains. This is
because there is only one leveling delay chain per I/O sub-bank.
The reference clock for each DLL may come from PLL output clocks or any of the two
dedicated clock input pins located in either side of the DLL. Table 8–6 through
Table 8–9 lists the available DLL reference clock input resources for the Stratix III
device family.
When you have a dedicated PLL that only generates the DLL input reference clock, set
the PLL mode to No Compensation, or the Quartus II software changes it
automatically. As the PLL does not use any other outputs, it does not require to
compensate for any clock paths.
Table 8–6. DLL Reference Clock Input for EP3SE50, EP3SL50, and EP3SL70 Devices
CLKIN CLKIN
DLL PLL (Top/Bottom) PLL (Left/Right)
(Top/Bottom) (Left/Right)
CLK12P CLK0P
CLK13P CLK1P
DLL0 PLL_T1 PLL_L2
CLK14P CLK2P
CLK15P CLK3P
CLK4P CLK0P
CLK5P CLK1P
DLL1 PLL_B1 PLL_L2
CLK6P CLK2P
CLK7P CLK3P
CLK4P CLK8P
CLK5P CLK9P
DLL2 PLL_B1 PLL_R2
CLK6P CLK10P
CLK7P CLK11P
CLK12P CLK8P
CLK13P CLK9P
DLL3 PLL_T1 PLL_R2
CLK14P CLK10P
CLK15P CLK11P
Table 8–7. DLL Reference Clock Input for EP3SE80, EP3SE110, and EP3SL150 Devices in the
780-pin Package
CLKIN CLKIN PLL PLL
DLL
(Top/Bottom) (Left/Right) (Top/Bottom) (Left/Right)
CLK12P CLK0P
CLK13P CLK1P
DLL0 PLL_T1 PLL_L2
CLK14P CLK2P
CLK15P CLK3P
CLK4P CLK0P
CLK5P CLK1P
DLL1 PLL_B1 —
CLK6P CLK2P
CLK7P CLK3P
CLK4P CLK8P
CLK5P CLK9P
DLL2 — —
CLK6P CLK10P
CLK7P CLK11P
CLK12P CLK8P
CLK13P CLK9P
DLL3 — PLL_R1
CLK14P CLK10P
CLK15P CLK11P
Table 8–8. DLL Reference Clock Input for EP3SE80, EP3SE110, EP3SL110, and EP3SL150 Devices
in the 1152-pin Package
CLKIN CLKIN
DLL PLL (Top/Bottom) PLL (Left/Right)
(Top/Bottom) (Left/Right)
CLK12P CLK0P
CLK13P CLK1P
DLL0 PLL_T1 PLL_L2
CLK14P CLK2P
CLK15P CLK3P
CLK4P CLK0P
CLK5P CLK1P
DLL1 PLL_B1 PLL_L3
CLK6P CLK2P
CLK7P CLK3P
CLK4P CLK8P
CLK5P CLK9P
DLL2 PLL_B2 PLL_R3
CLK6P CLK10P
CLK7P CLK11P
CLK12P CLK8P
CLK13P CLK9P
DLL3 PLL_T2 PLL_R2
CLK14P CLK10P
CLK15P CLK11P
Table 8–9. DLL Reference Clock Input for EP3SL200, EP3SE260 and EP3SL340 Devices (Note 1),
(2)
CLKIN CLKIN PLL PLL
DLL
(Top/Bottom) (Left/Right) (Top/Bottom) (Left/Right)
CLK12P CLK0P
CLK13P CLK1P PLL_L1
DLL0 PLL_T1
CLK14P CLK2P PLL_L2
CLK15P CLK3P
CLK4P CLK0P
CLK5P CLK1P PLL_L3
DLL1 PLL_B1
CLK6P CLK2P PLL_L4
CLK7P CLK3P
CLK4P CLK8P
CLK5P CLK9P PLL_R3
DLL2 PLL_B2
CLK6P CLK10P PLL_R4
CLK7P CLK11P
CLK12P CLK8P
CLK13P CLK9P PLL_R1
DLL3 PLL_T2
CLK14P CLK10P PLL_R2
CLK15P CLK11P
Notes to Table 8–9:
(1) PLLs L1, L3, L4, B2, R1, R3, R4, and T2 are not available for the EP3SL200 H780 package.
(2) PLLs L1, L4, R1 and R4 are not available for the EP3SL200 F1152 package.
Figure 8–12 shows a simple block diagram of the DLL. The input reference clock goes
into the DLL to a chain of up to 16 delay elements. The phase comparator compares
the signal coming out of the end of the delay chain block to the input reference clock.
The phase comparator then issues the upndn signal to the Gray-code counter. This
signal increments or decrements a 6-bit delay setting (DQS delay settings) that
increases or decreases the delay through the delay element chain to bring the input
reference clock and the signals coming out of the delay element chain in phase.
Figure 8–12. Simplified Diagram of the DQS Phase Shift Circuitry (Note 1)
addnsub
Phase offset settings
from the logic array
( offset [5:0] )
Phase offset
6 Phase settings to DQS pins
Offset 6 on top or bottom edge (3)
Control ( offsetctrlout [5:0] )
offsetdelayctrlin [5:0] A
DLL
aload offsetdelayctrlout [5:0] (dll_offset_ctrl_a)
Input Reference
Clock (2) addnsub
clk upndnin
Phase offset settings
Phase Up/Down from the logic array ( offset [5:0] )
Comparator upndninclkena Counter 6
Phase
Offset
offsetdelayctrlout [5:0] Phase offset
Control
B settings to DQS pin
6 on left or right edge (3)
offsetdelayctrlin [5:0] ( offsetctrlout [5:0] )
6 (dll_offset_ctrl_b)
Delay Chains delayctrlout [5:0]
DQS Delay
6 Settings (4)
6 dqsupdate
You can reset the DLL from either the logic array or a user I/O pin. Each time the DLL
is reset, you must wait for 1280 clock cycles before you can capture the data properly.
Depending on the DLL frequency mode, the DLL can shift the incoming DQS signals
by 0°, 22.5°, 30°, 36°, 45°, 60°, 67.5°, 72°, 90°, 108°, 120°, 135°, 144°, or 180°. The shifted
DQS signal is then used as the clock for the DQ IOE input registers.
All DQS and CQn pins referenced to the same DLL can have their input signal phase
shifted by a different degree amount but all must be referenced at one particular
frequency. For example, you can have a 90° phase shift on DQS1T and a 60° phase shift
on DQS2T referenced from a 200-MHz clock. Not all phase-shift combinations are
supported, however. The phase shifts on the DQS pins referenced by the same DLL
must all be a multiple of 22.5° (up to 90°), a multiple of 30° (up to 120°), a multiple
of 36° (up to 144°), or a multiple of 45° (up to 180°).
There are seven different frequency modes for the Stratix III DLL, as listed in
Table 8–10. Each frequency mode provides different phase shift selections. In
frequency modes 0, 1, 2, and 3, the 6-bit DQS delay settings vary with PVT to
implement the phase-shift delay. In frequency modes 4, 5, 6, and 7, only 5 bits of the
DQS delay settings vary with PVT to implement a phase-shift delay; the most
significant bit of the DQS delay setting is set to 0.
f For the frequency range of each mode, refer to the DC and Switching Characteristics of
Stratix III Devices chapter.
For 0° shift, the DQS signal bypasses both the DLL and DQS logic blocks. The
Quartus II software automatically sets DQ input delay chains so that the skew
between the DQ and DQS pin at the DQ IOE registers is negligible when the 0° shift is
implemented. You can feed the DQS delay settings to the DQS logic block and logic
array.
The shifted DQS signal goes to the DQS bus to clock the IOE input registers of the DQ
pins. The signal can also go into the logic array for resynchronization if you are not
using the IOE resynchronization registers. The shifted CQn signal can only go to the
negative-edge input register in the DQ IOE and is only used for QDR II+ and
QDR II SRAM interfaces.
1 When using this feature, you must to monitor the DQS delay settings to know how
many offsets you can add and subtract in the system. The DQS delay settings output
by the DLL are also Gray-coded.
For example, if the DLL determines that DQS delay settings of 28 is required to
achieve a 30° phase shift in DLL frequency mode 1, you can subtract up to 28 phase
offset settings and you can add up to 35 phase offset settings to achieve the optimal
delay that you require. However, if the same DQS delay settings of 28 is required to
achieve 30° phase shift in DLL frequency mode 4, you can still subtract up to 28 phase
offset settings, but you can only add up to 3 phase offset settings before the DQS delay
settings reach their maximum settings because DLL frequency mode 4 only uses 5-bit
DLL delay settings.
f For more information about the value for each step, refer to the DC and Switching
Characteristics of Stratix III Devices chapter.
When using static phase offset, you can specify the phase offset amount in the
ALTMEMPHY megafunction as a positive number for addition or a negative number
for subtraction. You can also have a dynamic phase offset that is always added to,
subtracted from, or both added to and subtracted from the DLL phase shift. When
you always add or subtract, you can dynamically input the phase offset amount into
the dll_offset[5..0] port. When you want to both add and subtract dynamically,
you control the addnsub signal in addition to the dll_offset[5..0] signals.
1xx
000 dqsbusout PRE
001 Q D
010
011
Bypass dqsin
dqsbusout
6 6
DQS Enable Control
delayctrlin
0 0 phasectrlin
1 1
6
6 <dqs_ctrl_latches_enable> 6 4
6 6
6 Resynchronization phaseinvertctrl
offsetctrlin [5:0] Clock
1 clk
Phase offset D Q D Q 0111
0 dqsupdateen Update
settings from 0110 0
DQS phase shift Enable 0101
Circuitry 0100
0011
1
circuitary 0010
<dqs_offsetctrl_enable> 0001
DQS delay 0000
6 <level_dqs_enable>
settings from the
postamble control clock
DQS phase-
shift circuitry delayctrlin [5:0] 0 0 dqsenableout
Input Reference Postamble 0 1 1
Enable 1
Clock (1)
dqsenablein
enaphasetransferreg <delay_dqs_enable_by_half_cycle>
You can also bypass the DQS delay chain to achieve 0° phase shift.
System Clock
Update Enable
Circuitry Output
Using the HDR block as the first stage capture register in the postamble enable
circuitry block is optional. The HDR block is clocked by the half-rate
resynchronization clock, which is the output of the I/O clock divider circuit (shown in
Figure 8–20 on page 8–35). There is an AND gate after the postamble register outputs
that is used to avoid postamble glitches from a previous read burst on a
non-consecutive read burst. This scheme allows a half-a-clock cycle latency for
dqsenable assertion and zero latency for dqsenable deassertion, as shown in
Figure 8–15.
Postamble glitch
Postamble Preamble
DQS
Postamble Enable
dqsenable
Delayed by
1/2T logic
Leveling Circuitry
DDR3 SDRAM unbuffered modules use a fly-by clock distribution topology for better
signal integrity. This means that the CK/CK# signals arrive at each DDR3 SDRAM
device in the module at different times. The difference in arrival time between the first
DDR3 SDRAM device and the last device on the module can be as long as 1.6 ns.
Figure 8–16 shows the clock topology in DDR3 SDRAM unbuffered modules.
Stratix III
Because the data and read strobe signals are still point-to-point, special consideration
must be taken to ensure that the timing relationship between CK/CK# and DQS
signals (tDQSS) during a write is met at every device on the modules. Furthermore, read
data coming back into the FPGA from the memory is also staggered in a similar way.
Stratix III FPGAs have leveling circuitry to take care of these two requirements. There
is one group of leveling circuitry per I/O bank, with the same I/O number (for
example, there is one leveling circuitry shared between I/O bank 1A, 1B, and 1C)
located in the middle of the I/O bank. These delay chains are PVT-compensated by
the same DQS delay settings as the DLL and DQS delay chains. For frequencies equal
to and above 400 MHz, the DLL uses eight delay chains such that each delay chain
generates a 45° delay.
The generated clock phases are distributed to every DQS logic block that is available
in the I/O bank. The delay chain taps, then feeds a multiplexer controlled by the
ALTMEMPHY megafunction to select which clock phases are to be used for that ×4 or
×8 DQS group. Each group can use a different tap output from the read-leveling and
write-leveling delay chains to compensate for the different CK/CK# delay going into
each device on the module.
Figure 8–17 illustrates the Stratix III write leveling circuitry.
Figure 8–17. Stratix III Write Leveling Delay Chains and Multiplexers (Note 1)
Write clk
(-900) Write-Leveled DQS Clock
Write-Leveled DQ Clock
DQS
Half-Rate Resynchronization Clock
I/O Clock
Resynchronization Divider
clock
Half-Rate Source
Synchronous Clock
Read-Leveled Resynchronization Clock
The –90° write clock of the ALTMEMPHY megafunction feeds the write-leveling
circuitry to produce the clock that generates the DQS and DQ signals. During
initialization, the ALTMEMPHY megafunction picks the correct write-leveled clock
for the DQS and DQ clocks for each DQS/DQ group after sweeping all the available
clocks in the write calibration process. The DQ clock output is –90° phase-shifted
compared to the DQS clock output.
Similarly, the resynchronization clock feeds the read-leveling circuitry to produce the
optimal resynchronization and postamble clock for each DQS/DQ group in the
calibration process. Resynchronization and the postamble clocks can use different
clock outputs from the leveling circuitry. Output from the read-leveling circuitry can
also generate the half-rate resynchronization clock that goes to the FPGA fabric.
1 The ALTMEMPHY megafunction calibrates the alignment for read and write leveling
dynamically during the initialization process.
f For more information about the ALTMEMPHY megafunction, refer to the Volume 3:
Implementing Altera Memory Interface IP.
f For more information about dynamic OCT control, refer to the Stratix III Device I/O
Features chapter.
OCT Control
OCT Enable
2
OCT Half- DFF DFF
Rate Clock
HDR Resynchronization
Block Registers
Write
Clock (1)
OCT Control Path
IOE Registers
The IOE registers have been expanded to allow source-synchronous systems to have
faster register-to-register transfers and resynchronization. Both top/bottom and
left/right IOEs have the same capability with left/right IOEs having extra features to
support LVDS data transfer.
Figure 8–20 shows the registers available in the Stratix III input path. The input path
consists of the DDR input registers, resynchronization registers, and HDR block. You
can bypass each block of the input path.
DFF
Input Reg AI
neg_reg_out
D Q D Q
clk
0111 0
1
0110
0101
0100
0011
0010
0001
0000
<use_masterin>
Stratix III Device Handbook, Volume 1
slaveout
masterin 1 DFF
0 1 to core (7)
clkout
0
Half-Rate Resynchronization Clock (resync_clk_1x)
phaseselect
8–35
Figure 8–20. Stratix III IOE Input Registers (Note 1)
Stratix III Device Handbook, Volume 1
8–36
Notes to Figure 8–20:
(1) You can bypass each register block in this path.
(2) This is the 0-phase resynchronization clock (from the read-leveling delay chain).
(3) The input clock can be from the DQS logic block (whether the postamble circuitry is bypassed or not) or from a global clock line.
(4) This input clock comes from the CQn logic block.
(5) This resynchronization clock can come either from the PLL or from the read-leveling delay chain.
(6) The I/O clock divider resides adjacent to the DQS logic block. In addition to the PLL and read-leveled resync clock, the I/O clock divider can also be fed by the DQS bus or CQn bus.
(7) The half-rate data and clock signals feed into a dual-port RAM in the FPGA core.
(8) You can dynamically change the dataoutbypass signal after configuration to select either the directin input or the output from the half data rate register to feed dataout.
(9) You must invert the strobe signal needs for DDR, DDR2, and DDR3 interfaces, except for QDR II or QDR II+ SRAM interfaces. This inversion is automatically done if you use the Altera external memory
interface IPs.
(10) Each divider feeds up to six pins (from a × 4 DQS group) in the device. To feed wider DQS groups, you must chain multiple clock dividers together by feeding the slaveout output of one divider to the
masterin input of the neighboring pins’ divider.
(11) The bypass_output_register option allows you to select either the output from the second mux or the output of the fourth alignment/ synchronization register to feed dataout.
There are three registers in the DDR input registers block. Two registers capture data
on the positive and negative edges of the clock, while the third register aligns the
captured data. You can choose to have the same clock for the positive edge and
negative edge registers, or two different clocks (DQS for positive edge register, and
CQn for negative edge register). The third register that aligns the captured data uses
the same clock as the positive edge registers.
Resynchronization registers consist of up to three levels of registers to resynchronize
the data to the system clock domain. These registers are clocked by the
resynchronization clock that is either generated by the PLL or the read-leveling delay
chain. The outputs of the resynchronization registers can go straight to the core or to
the HDR blocks, which are clocked by the divided-down resynchronization clock.
For more information about the read-leveling delay chain, refer to “Leveling
Circuitry” on page 8–31.
Figure 8–21 shows the registers available in the Stratix III output and output-enable
paths. The path is divided into the HDR block, resynchronization registers, and
output/output-enable registers. The device can bypass each block of the output and
output-enable path.
8–38
Figure 8–21. Stratix III IOE Output and Output-Enable Path Registers (Note 1)
D Q DFF
DFF
From Core (2)
D Q D Q
OE Reg A OE
DFF OR2
1
DFF 0
DFF
DFF
D Q
D Q
DFF
From Core (wdata3) (2)
D Q D Q
DFF
DFF
DFF
Write
Alignment Clock (5)
Clock (3)
(2) Data coming from the FPGA core are at half the frequency of the memory interface.
(3) Half-rate and alignment clocks come from the PLL.
(4) These registers are only used in DDR3 SDRAM interfaces for write-leveling purposes.
(5) The write clock can come from either the PLL or from the write-leveling delay chain. The DQ write clock and DQS write clock have a 90° offset between them.
Chapter 8: External Memory Interfaces in Stratix III Devices 8–39
Stratix III External Memory Interface Features
The output path is designed to route combinatorial or registered single data rate
(SDR) outputs and full-rate or half-rate DDR outputs from the FPGA core. Half-rate
data is converted to full-rate using the HDR block and is clocked by the half-rate clock
from the PLL. Resynchronization registers are also clocked by the same 0° system
clock, except in the DDR3 SDRAM interface where the leveling registers are clocked
by the write-leveling clock.
For more information about the write leveling delay chain, refer to “Leveling
Circuitry” on page 8–31.
The output-enable path has structure similar to the output path. You can have a
combinatorial or registered output in SDR applications and you can use half-rate or
full-rate operation in DDR applications. You also have the resynchronization registers
similar to the output path registers structure, ensuring that the output-enable path
goes through the same delay and latency as the output path.
Delay Chain
Stratix III devices have run-time adjustable delay chains in the I/O blocks and the
DQS logic blocks. You can control the delay chain setting through the I/O or the DQS
configuration block output. Figure 8–22 shows the delay chain ports.
finedelayctrlin
datain Δt 0
dataout
Δt 1
rtena oe
D5 OCT D5 Output-
Delay Enable Delay (outputdelaysetting1 +
octdelaysetting1 (only)
Chain Chain outputfinedelaysetting1)
D6 OCT D6 Output-
octdelaysetting2 (only) Delay Enable Delay (outputdelaysetting2 +
Chain Chain outputfinedelaysetting2)
D6 Delay D5 Delay
Delay Delay 0
Chain Chain 1
(outputdelaysetting2 + outputfinedelaysetting2) or
(outputonlydelaysetting2 + outputonlyfinedelaysetting2)
D1 Delay
Delay Chain
(padtoinputregisterdelaysetting +
padtoinputregisterfinedelaysetting)
Each DQS logic block contains a delay chain after the dqsbusout output and another
delay chain before the dqsenable input. Figure 8–24 shows the delay chains in the
DQS input path.
DQS
Enable
DQS DQS
Delay D4 Delay dqsin dqsbusout
Chain Chain
dqsenable
DQS
Enable
Control
bit 0 bit 1
datain dataout
clk
ena
update
IOE Features
This section briefly describes how OCT, programmable delay chains, programmable
output delay, slew rate adjustment, and programmable drive strength are useful in
memory interfaces.
f For more information about the features listed below, refer to the Stratix III Device I/O
Features chapter.
OCT
Stratix III devices feature dynamic calibrated OCT, in which series termination (OCT
RS) is turned on when driving signals and turned off when receiving signals, while the
parallel termination (OCT RT) is turned off when driving signals and turned on when
receiving signals. This feature complements the DDR3/DDR2 SDRAM on-die
termination (ODT), whereby memory termination is turned off when the memory is
sending data and turned on when receiving data. You can also use OCT for other
memory interfaces to improve signal integrity.
1 You cannot use the programmable drive strength and programmable slew rate
features when using OCT RS.
To use dynamic calibrated OCT, you must use the RUP and RDN pins to calibrate the
OCT calibration block. You can use one OCT calibration block to calibrate one type of
termination with the same VCCIO on the entire device. There are up to ten OCT
calibration blocks to allow for different types of terminations throughout the device.
For more information, refer to “Dynamic OCT Control” on page 8–33.
1 You have the option to use the OCT RS feature with or without calibration. However,
the OCT RT feature is only available with calibration.
You can also use the RUP and R DN pins as DQ pins. However, you cannot use the ×4
DQS/DQ groups where the RUP and RDN pins are located if you are planning to use
dynamic calibrated OCT. The RUP and RDN pins are located in the first and last ×4
DQS/DQ group on each side of the device.
Use the OCT RT/RS setting for uni-directional read and write data; use a dynamic
OCT setting for bi-directional data signals.
1 Deskewing circuitry and programmable IOE delay chains are the same circuit.
PLL
PLLs are used to generate the memory interface controller clocks, similar to the 0°
system clock, the –90° or 270° phase-shifted write clock, the half-rate PHY clock, and
the resynchronization clock. You can use the PLL reconfiguration feature to calibrate
resynchronization phase shift to balance the setup and hold margin.
The VCO and counter setting combinations may be limited for high-performance
memory interfaces.
f For more information about the Stratix III PLL, refer to the Clock Networks and PLLs in
Stratix III Devices chapter.
May 2008, ■ Added Table 8–7 and Table 8–8. Text, Table, and Figure
version 1.4 ■ Added Figure 8–19. updates.
■ Added new “Supporting ×36 QDR II+/QDR II SRAM Interfaces in the F780
and F1152-Pin Packages” section.
■ Updated “Data and Data Clock/Strobe Pins”.
■ Updated “Referenced Documents”.
SIII51009-1.9
I/O Banks
Stratix III I/Os are divided into 16 to 24 I/O banks. The dedicated serializer and
deserializer (SERDES) circuitry with DPA that supports high-speed differential I/Os
is located in banks in the right side and left side of the device. Figure 9–1 shows the
different banks and the I/O standards supported by the banks.
Figure 9–1. I/O Banks in Stratix III Devices (Note 1), (2), (3), (4), (5), (6)
PLL_L1 Bank 8A Bank 8B Bank 8C PLL_T1 PLL_T2 Bank 7C Bank 7B Bank 7A PLL_R1
Bank 6A
Bank 1A
I/O banks 8A, 8B & 8C support all I/O banks 7A, 7B & 7C support all
single-ended and differential input single-ended and differential input
and output operation and output operation
Bank 6B
Bank 1B
Bank 1C
Bank 6C
Row I/O banks support LVTTL, LVCMOS, 2.5-V, 1.8-V,
1.5-V, 1.2-V, SSTL-2 Class I & II, SSTL-18 Class I & II,
SSTL-15 Class I, HSTL-18 Class I & II, HSTL-15 Class I,
HSTL-12 Class I, LVDS, RSDS, mini-LVDS, differential
SSTL-2 Class I & II, differential SSTL-18 Class I & II,
differential SSTL-15 Class I, differential HSTL-18 Class I & PLL_R2
PLL_L2 II, differential HSTL-15 Class I and differential HSTL-12
Class I standards for input and output operation.
PLL_L3 PLL_R3
SSTL-15 class II, HSTL-15 Class II, HSTL-12 Class II,
differential SSTL-15 Class II, differential HSTL-15 Class II,
Bank 5C
Bank 2C
Bank 5B
I/O banks 3A, 3B & 3C support all I/O banks 4A, 4B & 4C support all
single-ended and differential input single-ended and differential input
Bank 5A
Bank 2A
PLL_L4 Bank 3A Bank 3B Bank 3C PLL_B1 PLL_B2 Bank 4C Bank 4B Bank 4A PLL_R4
LVDS Channels
The Stratix III device supports LVDS on both side I/O banks and column I/O banks.
Single-ended reference clocks are supported when using the source-synchronous
SERDES in DPA and soft-CDR mode. There are true LVDS input and output buffers
on the side I/O banks. On column I/O banks, there are true LVDS input buffers but
do not have true LVDS output buffers. However, you can configure all column user
I/Os—including I/Os with true LVDS input buffers—as emulated LVDS output
buffers. When using emulated LVDS standards, you must implement the logic driving
these pins in soft logic (logic elements) and not hard SERDES.
1 Emulated differential output buffers support tri-state capability starting with the
Quartus® II software version 9.1.
Table 9–1 lists the LVDS channels supported in Stratix III device side I/O banks.
Table 9–1. LVDS Channels Supported in Stratix III Device Side I/O Banks (Note 1), (2), (3)
484-Pin FineLine 780-Pin 1152-Pin 1517-Pin 1780-Pin
Device
BGA FineLine BGA FineLine BGA FineLine BGA FineLine BGA
48Rx/eTx + 56Rx/eTx +
EP3SL50 — — —
48Tx/eTx 56Tx/eTx
48Rx/eTx + 56Rx/eTx +
EP3SL70 — — —
48Tx/eTx 56Tx/eTx
56Rx/eTx + 88Rx/eTx +
EP3SL110 — — —
56Tx/eTx 88Tx/eTx
56Rx/eTx + 88Rx/eTx +
EP3SL150 — — —
56Tx/eTx 88Tx/eTx
56Rx/eTx + 88Rx/eTx + 112Rx/eTx +
EP3SL200 — —
56Tx/eTx (4) 88Tx/eTx 112Tx/eTx
88Rx/eTx + 112Rx/eTx + 132Rx/eTx +
EP3SL340 — —
88Tx/eTx (5) 112Tx/eTx 132Tx/eTx
48Rx/eTx + 56Rx/eTx +
EP3SE50 — — —
48Tx/eTx 56Tx/eTx
56Rx/eTx + 88Rx/eTx +
EP3SE80 — — —
56Tx/eTx 88Tx/eTx
56Rx/eTx + 88Rx/eTx +
EP3SE110 — — —
56Tx/eTx 88Tx/eTx
56Rx/eTx + 88Rx/eTx + 112Rx/eTx +
EP3SE260 — —
56Tx/eTx (4) 88Tx/eTx 112Tx/eTx
Notes to Table 9–1:
(1) Rx = true LVDS input buffers.
(2) Tx = true LVDS output buffers.
(3) eTx = emulated-LVDS output buffers, either LVDS_E3R or LVDS_E1R.
(4) The EP3SL200 and EP3SL260 FPGAs are offered in the H780 package, instead of the F780 package.
(5) The EP3SL340 FPGA is offered in the H1152 package, instead of the F1152 package.
Table 9–2 lists the LVDS channels (emulated) supported in Stratix III device column
I/O banks.
Table 9–2. LVDS Channels (Emulated) Supported in Stratix III Device Column I/O Banks (Note 1), (2)
484-Pin FineLine 780-Pin 1152-Pin 1517-Pin FineLine 1780-Pin FineLine
Device
BGA FineLine BGA FineLine BGA BGA BGA
EP3SL50 24Rx/eTx + 24eTx 64Rx/eTx + 64eTx — — —
EP3SL70 24Rx/eTx + 24eTx 64Rx/eTx + 64eTx — — —
EP3SL110 — 64Rx/eTx + 64eTx 96Rx/eTx + 96eTx — —
EP3SL150 — 64Rx/eTx + 64eTx 96Rx/eTx + 96eTx — —
EP3SL200 — 64Rx/eTx + 64eTx (3) 96Rx/eTx + 96eTx 128Rx/eTx + 128eTx —
EP3SL340 — — 96Rx/eTx + 96eTx (4) 128Rx/eTx + 128eTx 144Rx/eTx + 144eTx
EP3SE50 24Rx/eTx + 24eTx 64Rx/eTx + 64eTx — — —
EP3SE80 — 64Rx/eTx + 64eTx 96Rx/eTx + 96eTx — —
EP3SE110 — 64Rx/eTx + 64eTx 96Rx/eTx + 96eTx — —
EP3SE260 — 64Rx/eTx + 64eTx (3) 96Rx/eTx + 96eTx 128Rx/eTx + 128eTx —
Notes to Table 9–2:
(1) Rx = true LVDS input buffers without on-chip differential input termination.
(2) eTx = emulated LVDS output buffers, either LVDS_E3R or LVDS_E1R.
(3) The EP3SL200 and EP3SE260 FPGAs are offered in the H780 package, instead of the F780 package.
(4) The EP3SL340 FPGA is offered in the H1152 package, instead of the F1152 package.
Differential Transmitter
The Stratix III transmitter has dedicated circuitry to provide support for LVDS
signaling. The dedicated circuitry consists of a differential buffer, a serializer, and a
shared analog PLL (left/right PLL). The differential buffer can drive out LVDS,
mini-LVDS, and RSDS signaling levels. The serializer takes up to 10-bits wide parallel
data from the FPGA core, clocks it into the load registers, and serializes it using shift
registers clocked by the left/right PLL before sending the data to the differential
buffer. The most significant bit (MSB) of the parallel data is transmitted first.
The load and shift registers are clocked by the load enable (load_en) signal and the
diffioclk (clock running at serial data rate) signal generated from PLL_Lx (left
PLL) or PLL_Rx (right PLL). The serialization factor can be statically set to ×3, ×4, ×5,
×6, ×7, ×8, ×9, or ×10 with the Quartus II software. The load enable signal is derived
from the serialization factor setting. Figure 9–2 shows a block diagram of the
Stratix III transmitter.
Serializer
10
Internal TX_OUT
Logic
diffioclk
PLL_Lx /
PLL_Rx load_en
You can configure any Stratix III transmitter data channel to generate a
source-synchronous transmitter clock output. This flexibility allows placing the
output clock near the data outputs to simplify board layout and reduce clock-to-data
skew. Different applications often require specific clock-to-data alignments or specific
data rate-to-clock rate factors. The transmitter can output a clock signal at the same
rate as the data with a maximum frequency of 800 MHz. You can also divide the
output clock by a factor of 2, 4, 8, or 10, depending on the serialization factor. The
phase of the clock in relation to the data can be set at 0° or 180° (edge or center
aligned). The left and right PLLs (PLL_Lx/PLL_Rx) provide additional support for
other phase shifts in 45° increments. These settings are statically made in the
MegaWizard® Plug-In Manager in the Quartus II software.
Figure 9–3 shows the Stratix III transmitter in clock output mode.
Figure 9–3. Transmitter in Clock Output Mode for Stratix III Devices
Transmitter Circuit
Parallel Series
Txclkout+
Txclkout–
Internal
Logic
PLL_Lx / diffioclk
PLL_Rx
load_en
You can bypass the Stratix III serializer to support DDR (×2) and SDR (×1) operations
to achieve a serialization factor of 2 and 1, respectively. The I/O element (IOE)
contains two data output registers that can each operate in either DDR or SDR mode.
The clock source for the registers in the IOE can come from any routing resource, from
the left/right PLL (PLL_Lx/PLL_Rx) or from the top/bottom (PLL_Tx/PLL_Bx) PLL.
Figure 9–4 shows the serializer bypass path.
f For more information about how to use the differential transmitter, refer to the
ALTLVDS Megafunction User Guide.
Differential Receiver
The Stratix III device has dedicated circuitry to receive high-speed differential signals.
The receiver has a differential buffer, a shared PLL_Lx/PLL_Rx, DPA block,
synchronization FIFO buffer, data realignment block, and a deserializer. The
differential buffer can receive LVDS, mini-LVDS, and RSDS signal levels, which are
statically set in the Quartus II software Assignment Editor. The PLL receives the
external source clock input that is transmitted with the data and generates different
phases of the same clock. The DPA block chooses one of the clocks from the left/right
PLL and aligns the incoming data on each channel.
The synchronizer circuit is a 1-bit wide by 6-bit deep FIFO buffer that compensates for
any phase difference between the DPA clock and the data realignment block. If
necessary, the data realignment circuit can insert a single bit of latency in the serial bit
stream to align to the word boundary. The deserializer includes shift registers and
parallel load registers, and sends a maximum of 10 bits to the internal logic. The data
path in the Stratix III receiver is clocked by either a dffioclk signal or the DPA
recovered clock. The deserialization factor can be statically set to ×3, ×4, ×5, ×6, ×7, ×8,
×9, or ×10 with the Quartus II software. The left/right PLLs (PLL_Lx/PLL_Rx)
generate the load enable signal, which is derived from the deserialization factor
setting.
To support DDR (×2) or SDR (×1) operations, you can bypass the Stratix III
deserializer in MegaWizard Plug-In Manager in the Quartus II software. You cannot
use the DPA and the data realignment circuit when the deserializer is bypassed. The
IOE contains two data input registers that can operate in DDR or SDR mode. The
clock source for the registers in the IOE can come from any routing resource, from the
left/right PLLs or from the top/bottom PLLs. Figure 9–5 shows the block of the
Stratix III receiver.
+ Data
Up to 1.6 Gbps – D Q Realignment 10
Circuitry Internal
Logic
True
Receiver
Interface
data retimed_
data
DPA Synchronizer
DPA_clk
diffioclk
rx_inclk PLL _Lx / load_en
PLL_Rx Regional or
Global Clock
Figure 9–7 shows receiver output (RX_OUT) after one bit slip pulse with the
deserialization factor set to 4.
inclk
rx_in 3 2 1 0 3 2 1 0 3 2 1 0
rx_outclock
rx_channel_data_align
The data realignment circuit can have up to 11 bit-times of insertion before a rollover
occurs. The programmable bit rollover point can be from 1 to 11 bit-times,
independent of the deserialization factor. An optional status port, RX_CDA_MAX, is
available to the FPGA from each channel to indicate when the preset rollover point is
reached.
0˚
45˚
90˚
135˚
180˚
225˚
270˚
315˚
Tvco
0.125Tvco
The DPA block continuously monitors the phase of the incoming serial data and
selects a new clock phase if required. You can prevent the DPA from selecting a new
clock phase by asserting the optional RX_DPLL_HOLD port, which is available for each
channel.
The DPA circuitry does not require a fixed training pattern to lock to the optimum
phase out of the 8 phases. After reset or power up, the DPA circuitry requires
transitions on the received data to lock to the optimum phase. The ALTLVDS
megafunction provides an optional output port, rx_dpa_locked to indicate if the
DPA has locked to the optimum phase. When the DPA locks to the optimum phase,
the rx_dpa_locked signal always stays high unless you assert the rx_reset signal
of the associated LVDS channel or the pll_areset signal of the receiver PLL
providing the 8 DPA clock phases.
1 The rx_dpa_locked signal only indicates an initial DPA lock condition to the
optimum phase after power up or reset. You must not use the rx_dpa_locked signal
to validate the integrity of the LVDS link. Use error checkers, for example cyclical
redundancy check (CRC) and diagonal interleave parity (DIP4), to validate the
integrity of the LVDS link.
An independent reset port (RX_RESET) is available to reset the DPA circuitry. You
must retrain the DPA circuitry after reset.
Soft-CDR Mode
The Stratix III LVDS channel offers the soft-CDR mode to support the Gigabit
Ethernet/SGMII protocols. Clock-data recovery (CDR) is required to extract the clock
out of the clock-embedded data to support SGMII. In Stratix III devices, the CDR
circuit is implemented in soft-logic as an IP.
In soft-CDR mode, the DPA circuitry selects an optimal DPA clock phase to sample
the data and carry on the bit-slip operation and deserialization. The selected DPA
clock is also divided down by the deserialization factor, and then forwarded to the
PLD core along with the deserialized data. The LVDS block has an output called
DIVCLKOUT (rx_divfwdclk port of the ALTLVDS megafunction) for the forwarded
clock signal. This signal is put on the newly introduced periphery clock (PCLK)
network. When using soft-CDR mode, the rx_reset port should not be asserted
when the rx_dpa_lock is asserted because the DPA will continually choose new
phase taps from the PLL to track parts per million (PPM) differences between the
reference clock and incoming data. In Stratix III devices, you can use every LVDS
channel in soft-CDR mode and can drive the core via the PCLK network.
Figure 9–9. Soft-CDR Data and Clock Path for a Stratix III Devices
ReTimed
Data to Core Data LVDS Data
10
CLK_BS_DES
DPA
CLOCK Ref
PLL
TREE Clock
1 The synchronizer FIFO is bypassed in soft-CDR mode. The reference clock frequency
must be suitable for the PLL to generate a clock that matches the data rate of the
interface. The DPA circuitry can track PPM differences between the reference clock
and the data stream.
Synchronizer
The synchronizer is a 1-bit × 6-bit deep FIFO buffer that compensates for the phase
difference between the recovered clock from the DPA circuit and the diffioclk that
clocks the rest of the logic in the receiver. The synchronizer can only compensate for
phase differences, not frequency differences between the data and the receiver’s
INCLK.
An optional port (RX_FIFO_RESET) is available to the internal logic to reset the
synchronizer. Altera® recommends using RX_FIFO_RESET to reset the synchronizer
once after the RX_DPA_LOCKED signal gets asserted and before valid data is received.
f For more information about how to use the differential receiver, refer to the ALTLVDS
Megafunction User Guide.
OUT
VOD
OUT
Overshoot
Figure 9–11. On-Chip Differential I/O Termination for Stratix III Devices
Stratix III Differential
LVDS Receiver with On-Chip
Transmitter 100 W Termination
Z0 = 50 Ω
RD
Z0 = 50 Ω
f For more information, refer to the Clock Network and PLLs in Stratix III Devices chapter.
Figure 9–12 shows a simplified block diagram of the major components of the
Stratix III PLL.
Casade output
Lock locked to adjacent PLL
pfdena Circuit /2, /4 ÷C0
GCLKs
Clock inputs 4
8 ÷2 8 ÷C1 RCLKs
inclk0 ÷n CP LF 8
no compensation mode
ZDB, External feedback modes
FBIN
LVDS Compensation mode DIFFIOCLK network
Source Synchronous, normal modes
GCLK/RCLK network
Clocking
The left/right PLLs feed into the differential transmitter and receive channels through
the LVDS and DPA clock network. The center left/right PLLs can clock the transmitter
and receive channels above and below them. The corner left/right PLLs can drive
I/Os in the banks adjacent to them. Figure 9–13 and Figure 9–14 show center and
corner PLL clocking in Stratix III devices. You can find more information about PLL
clocking restrictions in “Differential Pin Placement Guidelines” on page 9–19.
Figure 9–13. LVDS/DPA Clocks with Center PLLs for Stratix III Devices
4 4
Center Center
2 2
PLL_L2 PLL_R2
2 2
Center Center
PLL_L3 PLL_R3
4 4
Figure 9–14. LVDS/DPA Clocks with Center and Corner PLLs for Stratix III Devices
Corner
Corner PLL_R1
PLL_L1
2 2
4 4
2 Center Center 2
PLL_L2 PLL_R2
2 2
Center Center
PLL_L3 PLL_R3
4 4
2 2
Corner Corner
PLL_L4 PLL_R4
inclock/outclock
10 LVDS Bits
MSB LSB
data in 9 8 7 6 5 4 3 2 1 0
Figure 9–16. Bit-Order and Word Boundary for One Differential Channel (Note 1)
Transmitter Channel
Operation (x8 Mode)
tx_outclock
Previous Cycle Current Cycle Next Cycle
tx_out X X X X X X X X 7 6 5 4 3 2 1 0 X X X X X X X X
MSB LSB
Receiver Channel
Operation (x4 Mode)
rx_inclock
rx_in 3 2 1 0 X X X X X X X X X X X X
rx_outclock
rx_out [3..0] XXXX XXXX XXXX 3210
Receiver Channel
Operation (x8 Mode)
rx_inclock
rx_in 7 6 5 4 3 2 1 0 X X X X X X X X X X X X X X X X X X X X X X X X
rx_outclock
rx_out [7..0] XXXXXXXX XXXXXXXX XXXX7654 3210XXXX
Table 9–3 lists the conventions for differential bit naming for 18 differential channels.
The MSB and LSB positions increase with the number of channels used in a system.
Figure 9–17. Differential High-Speed Timing Diagram and Timing Budget for Non-DPA
Timing Diagram
External
Input Clock
Internal
Clock
TCCS TCCS
Receiver Sampling
RSKM RSKM
Input Data Window (SW)
TUI
External
Clock
Clock Placement
Internal
Clock
Synchronization
Transmitter
Output Data
Receiver
Input Data
Sampling
Window
Figure 9–18. Corner and Center Left/Right PLLs Driving DPA-Enabled Differential I/Os in the Same
Bank
Corner
Left /Right PLL
Reference
CLK
DPA -enabled
Diff I/O
Channels
driven by
DPA - enabled Corner
Diff I/O Left/Right
DPA - enabled PLL
Diff I/O
DPA - enabled
Diff I/O
DPA - enabled
Diff I/O
DPA- enabled
Diff I/O
Reference
CLK
Center
Left /Right PLL
DPA-enabled DPA-enabled
Diff I/O Diff I/O
DPA-enabled DPA-enabled
Diff I/O Diff I/O
DPA-enabled DPA-enabled
Diff I/O Diff I/O
DPA-enabled DPA-enabled
Diff I/O Diff I/O
Reference Reference
CLK CLK
Center Center
Left/Right PLL Left/Right PLL
(PLL_L2/PLL_R2) (PLL_L2/PLL_R2)
Reference Reference
CLK CLK
DPA-enabled DPA-enabled
Diff I/O Diff I/O
DPA-enabled DPA-enabled
Diff I/O Diff I/O
DPA-enabled DPA-enabled
Diff I/O Diff I/O
DPA-enabled DPA-enabled
Diff I/O Diff I/O
Figure 9–20. Invalid Placement of DPA-Enabled Differential I/Os Driven by Both Center Left/Right
PLLs
DPA-enabled
Diff I/O
DPA-enabled
Diff I/O
DPA-enabled
Diff I/O
DPA-enabled
Diff I/O
Reference
CLK
Reference
CLK
DPA-enabled
Diff I/O
DPA-enabled
Diff I/O
DPA-enabled
Diff I/O
DPA-enabled
Diff I/O
Figure 9–21. Corner and Center Left/Right PLLs Driving DPA-Disabled Differential I/Os in the Same Bank
Reference
CLK Reference
CLK
Diff RX Diff TX
DPA-disabled
Diff I/O
Channels
Diff RX Diff TX driven by
DPA-disabled
Corner
Diff I/O
Diff RX Diff TX Left/Right
DPA-disabled
PLL
Diff I/O
Diff RX Diff TX DPA-disabled
Diff I/O
Diff RX Diff TX DPA-disabled No
Diff I/O separation
Diff RX Diff TX DPA-disabled buffer
Diff I/O needed
Diff RX Diff TX DPA-disabled
Diff I/O
Diff RX Diff TX DPA-disabled Channels
Diff I/O driven by
DPA-disabled Center
Diff RX Diff TX
Diff I/O Left/Right
PLL
DPA-disabled
Diff RX Diff TX
Diff I/O
Reference Reference
CLK CLK
Figure 9–22. Invalid Placement of DPA-Disabled Differential I/Os Due to Interleaving of Channels
Driven by the Corner and Center Left/Right PLLs
Corner Left/Right
PLL
Reference CLK
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
Reference CLK
Center Left/Right
PLL
Figure 9–23. Both Center Left/Right PLLs Driving Cross-Bank DPA-Disabled Channels
Simultaneously
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
Reference
CLK
Center
Left/Right PLL
Center
Left/Right PLL
Reference
CLK
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
DPA-disabled
Diff I/O
This section provides information on hot socketing and power-on reset, configuring
Stratix ® III devices, remote system upgrades, and IEEE 1149.1 (JTAG) Boundary-Scan
Testing in the following sections:
■ Chapter 10, Hot Socketing and Power-On Reset in Stratix III Devices
■ Chapter 11, Configuring Stratix III Devices
■ Chapter 12, Remote System Upgrades with Stratix III Devices
■ Chapter 13, IEEE 1149.1 (JTAG) Boundary-Scan Testing in Stratix III Devices
Revision History
Refer to each chapter for its own specific revision history. For information on when
each chapter was updated, refer to the Chapter Revision Dates section, which appears
in the full handbook.
SIII51010-1.7
f For more information about the hot socketing specification, refer to the DC and
Switching Characteristics of Stratix III Devices chapter and the Hot-Socketing and
Power-Sequencing Feature and Testing for Altera Devices White Paper.
Figure 10–1 shows the Stratix III device’s I/O pin circuitry.
Power On
Reset
Monitor
VCCIO
Weak R
Pull-Up Output Enable
Resistor
Output
Pre-Driver
Input Buffer
to Logic Array
The POR circuit monitors the voltage level of power supplies (VCC, VCCL, VCCPD, VCCPGM
and VCCPT) and keeps the I/O pins tri-stated until the device is in user mode. The weak
pull-up resistor (R) in the Stratix III input/output element (IOE) keeps the I/O pins
from floating. The 3.3-V tolerance control circuit permits the I/O pins to be driven by
3.3 V before V CCIO, VCC, VCCPD, and/or VCCPGM supplies are powered, and it prevents the
I/O pins from driving out when the device is not in user mode.
1 Altera uses GND as reference for hot-socketing operation and I/O buffer designs. To
ensure proper operation, you must connect the GND between boards before
connecting the power supplies. This will prevent the GND on your board from being
pulled up inadvertently by a path to power through other components on your board.
A pulled up GND could otherwise cause an out-of-specification I/O voltage or
current condition with the Altera device.
Figure 10–2 shows a transistor-level cross section of the Stratix III device I/O buffers.
This design prevents leakage current from I/O pins to the V CCIO supply when VCCIO is
powered before the other voltage supplies or if the I/O pad voltage is higher than
VCCIO. This also applies for sudden voltage spikes during hot insertion. The VPAD
leakage current charges the 3.3-V tolerant circuit capacitance.
Figure 10–2. Transistor Level Diagram of a Stratix III Device I/O Buffers
n+ n+ p+ p+ n+
p-well n-well
p-substrate
1 For maximum power supplies ramp-up time for Stratix III Devices, refer Table 10–1.
Stratix III devices provide a dedicated input pin (PORSEL) to select a POR delay time
during power up. When the PORSEL pin is connected to ground, the POR delay time
is 100 ms. When the PORSEL pin is set to high, the POR delay time is 12 ms.
The POR block consists of a regulator POR, satellite POR, and main POR to check the
power supply levels for proper device configuration. The satellite POR monitors VCCPD
and VCCPGM power supplies that are used in the configuration buffers for device
programming. The POR block also checks for functionality of I/O level shifters
powered by VCCPD and VCCPGM during power-up mode. The main POR checks the VCC
and VCCL supplies used in core. The internal configuration memory supply, which is
used during device configuration, is checked by the regulator POR block and is gated
in the main POR block for the final POR trip. A simplified block diagram of the POR
block is shown in Figure 10–3.
1 All configuration-related dedicated and dual function I/O pins must be powered by
VCCPGM.
VCCPT
Regulator POR
VCCPGM
VCC
PORSEL
The ramp-up time specification for Stratix III devices is listed in Table 10–1.
1 To ensure proper device operation, all power supplies listed in Table 10–2 are required
to be powered up at all times during device operation.
The POR circuit does not monitor the power supplies listed in Table 10–3.
Table 10–3. Power Supplies That Are Not Monitored by the POR Circuitry
Voltage Supply Description Setting (V)
1.2, 1.5, 1.8, 2.5, 3.0,
VC CIO I/O power supply
3.3
VC CA _PLL PLL analog global power supply 2.5
VC CD_P LL PLL digital power supply 1.1
PLL differential clock input power supply (top and
VC C_C LK IN 2.5
bottom I/O banks only)
Battery back-up power supply for design security
VC CB AT 1.0 – 3.3 (1)
volatile key storage
Note to Table 10–3:
(1) The nominal voltage for VC CB AT is 3.0-V.
1 During power up, all power supplies listed in Table 10–2 and Table 10–3 are required
to monotonically reach their full-rail values within tRAMP.
The POR specification is designed to ensure that all the circuits in the Stratix III device
are at certain known states during power up.
The POR signal pulse width is programmable using the PORSEL input pin. When
PORSEL is set to low, the POR signal pulse width is set to 100 ms. A POR pulse width
of 100 ms allows serial flash devices with 65 ms to 100 ms internal POR delay to be
powered up and ready to receive the nSTATUS signal from Stratix III. When the
PORSEL is set to high, the POR signal pulse width is set to 12 ms. A POR pulse width
of 12 ms allows time for power supplies to ramp-up to full rail.
f For more information about the POR specification, refer to the DC and Switching
Characteristics chapter.
SIII51011-2.0
This chapter contains complete information about Stratix® III supported configuration
schemes, how to execute the required configuration schemes, and all necessary option
pin settings.
Stratix III devices use SRAM cells to store configuration data. Because SRAM memory
is volatile, you must download configuration data to the Stratix III device each time
the device powers up. You can configure Stratix III devices using one of four
configuration schemes:
■ Fast passive parallel (FPP)
■ Fast active serial (AS)
■ Passive serial (PS)
■ Joint Test Action Group (JTAG)
All configuration schemes use an external controller (for example, a MAX® II device
or microprocessor), a configuration device, or a download cable. Refer to
“Configuration Features” on page 11–3 for more information.
Configuration Devices
The Altera® serial configuration devices (EPCS128, EPCS64, and EPCS16) support a
single-device and multi-device configuration solution for Stratix III devices and are
used in the fast AS configuration scheme. Serial configuration devices offer a
low-cost, low-pin count configuration solution.
f For information about serial configuration devices, refer to the Serial Configuration
Devices (EPCS1, EPCS4, EPCS16, EPCS64, and EPCS128) Data Sheet in volume 2 of the
Configuration Handbook.
All minimum timing information in this handbook covers the entire Stratix III family.
Some devices may work at less than the minimum timing stated in this handbook due
to process variation.
Configuration Schemes
Select the configuration scheme by driving the Stratix III device MSEL pins either high
or low, as detailed in Table 11–1. The MSEL pins are powered by the VCCPGM power
supply of the bank they reside in. The MSEL[2..0] pins have 5-kΩ internal
pull-down resistors that are always active. During power-on reset (POR) and
reconfiguration, the MSEL pins must be at LVTTL VIL and VIH levels to be considered a
logic low and logic high.
Table 11–2 lists the uncompressed raw binary file (.rbf) configuration file sizes for
Stratix III devices.
Table 11–2. Stratix III Uncompressed Raw Binary File (.rbf) Sizes
Device Data Size (Bits)
EP3SL50 22, 178, 792
EP3SL70 22, 178, 792
EP3SL110 47, 413, 312
EP3SL150 47, 413, 312
EP3SL200 93, 324, 656
EP3SL340 117, 387, 664
EP3SE50 25, 891, 968
EP3SE80 48, 225, 392
EP3SE110 48, 225, 392
EP3SE260 93, 324, 656
Use the data in Table 11–2 to estimate the file size before design compilation. Different
configuration file formats, such as a hexadecimal (.hex) or tabular text file (.ttf)
format, have different file sizes. Refer to the Quartus ® II software for the different
types of configuration file and the file sizes. However, for any specific version of the
Quartus II software, any design targeted for the same device will have the same
uncompressed configuration file size. If you are using compression, the file size can
vary after each compilation because the compression ratio is dependent on the design.
Configuration Features
Stratix III devices offer design security, decompression, and remote system upgrade
features. Design security using configuration bitstream encryption is available in
Stratix III devices, which protects your designs. Stratix III devices can receive a
compressed configuration bitstream and decompress this data in real-time, reducing
storage requirements and configuration time. You can make real-time system
upgrades from remote locations of your Stratix III designs with the remote system
upgrade feature.
Table 11–3 summarizes which configuration features you can use in each
configuration scheme.
If your system already contains a common flash interface (CFI) flash memory, you can
use it for the Stratix III device configuration storage as well. The MAX II parallel flash
loader (PFL) feature in MAX II devices provides an efficient method to program CFI
flash memory devices through the JTAG interface, and the logic to control
configuration from the flash memory device to the Stratix III device. Both PS and FPP
configuration modes are supported using the PFL feature.
f For more information about PFL, refer to AN 386: Using the MAX II Parallel Flash
Loader with the Quartus II Software.
f For more information about programming Altera serial configuration devices, refer to
“Programming Serial Configuration Devices” on page 11–25.
Stratix III devices support decompression in the FPP (when using a MAX II
device/microprocessor + flash), fast AS, and PS configuration schemes. The Stratix III
decompression feature is not available in the JTAG configuration scheme.
1 When using FPP mode, the intelligent host must provide a DCLK that is ×4 the data
rate. Therefore, the configuration data must be valid for four DCLK cycles.
In PS mode, use the Stratix III decompression feature, because sending compressed
configuration data reduces configuration time.
When you enable compression, the Quartus II software generates configuration files
with compressed configuration data. This compressed file reduces the storage
requirements in the configuration device or flash memory, and decreases the time
needed to transmit the bitstream to the Stratix III device. The time required by a
Stratix III device to decompress a configuration file is less than the time needed to
transmit the configuration data to the device.
There are two ways to enable compression for Stratix III bitstreams: before design
compilation (in the Compiler Settings menu) and after design compilation (in the
Convert Programming Files window).
To enable compression in the project's Compiler Settings menu, perform the following
steps:
1. On the Assignments menu, click Device. The Settings dialog box appears.
2. In the Family list, select Stratix III and then click the Device and Pin Options
button.
3. On the Configuration tab, turn on the Generate compressed bitstreams
option(Figure 11–1).
Figure 11–1. Enabling Compression for Stratix III Bitstreams in Compiler Settings
You can also enable compression when creating programming files from the Convert
Programming Files window.
1. On the File menu, click Convert Programming Files.
2. In the (.pof, .sram, .hex, .rbf, or .ttf) list, select the programming file type.
3. For POF output files, select a configuration device from (.pof, .sram, .hex, .rbf, or
.ttf).
4. Under Input files to convert, select SOF Data.
5. Select Add File and add a Stratix III device SOF or SOFs.
6. Select the name of the file you added to the SOF Data area and click Properties.
7. Check the Compression check box.
When multiple Stratix III devices are cascaded, you can selectively enable the
compression feature for each device in the chain if you are using a serial configuration
scheme. Figure 11–2 shows a chain of two Stratix III devices. The first Stratix III device
has compression enabled, and receives a compressed bitstream from the configuration
device. The second Stratix III device has the compression feature disabled, and
receives uncompressed data.
In a multi-device FPP configuration chain (with a MAX II device/microprocessor +
flash), all Stratix III devices in the chain must either enable or disable the
decompression feature. You cannot selectively enable the compression feature for
each device in the chain because of the DATA and DCLK relationship.
Figure 11–2. Compressed and Uncompressed Configuration Data in the Same Configuration File
Serial Configuration Data
Serial Configuration
Device
Compressed Uncompressed
Configuration Configuration
Data Data
Decompression
Controller
Stratix III
Stratix III FPGA
FPGA
nCE nCEO nCE nCEO N.C.
GND
To generate programming files for this setup in the Quartus II software, on the File
menu, click Convert Programming Files.
1 When using a serial configuration scheme such as PS or fast AS, configuration time is
the same whether or not the design security feature is enabled. If the FPP scheme is
used with the design security or decompression feature, a ×4 DCLK is required. This
results in a slower configuration time when compared to the configuration time of a
Stratix III device that has neither the design security nor the decompression feature
enabled.
f For more information about this feature, refer to the Design Security in Stratix III
Devices chapter in volume 1 of the Stratix III Device Handbook.
VCCPGM Pins
Stratix III devices offer a new power supply, V CCPGM, for all the dedicated configuration
pins and dual function pins. The configuration voltages supported are 1.8 V, 2.5 V,
3.0 V, and 3.3 V. Stratix III devices do not support the 1.5 V configuration.
Use this pin to power all dedicated configuration inputs, dedicated configuration
outputs, dedicated configuration bi-directional pins, and some of the dual functional
pins that you use for configuration. With VCCPGM, configuration input buffers do not
have to share power lines with the regular I/O buffer in Stratix III devices.
The operating voltage for the configuration input pin is independent of the I/O
bank’s power supply V CCIO during the configuration. Therefore, no configuration
voltage constraints on VCCIO are needed in Stratix III devices.
VCCPD Pins
Stratix III devices have a dedicated programming power supply, VCCPD, which must be
connected to 3.3 V/3.0 V/2.5 V to power the I/O pre-drivers, the JTAG input and
output pins (TCK, TMS, TDI, TDO, and TRST), and the design security circuitry.
1 VCCPGM and VCCPD must ramp up from 0 V to the desired voltage level within 100 ms. If
these supplies are not ramped up within this specified time, your Stratix III device
will not configure successfully. If your system does not allow ramp-up time of 100 ms
or less, you must hold nCONFIG low until all power supplies are stable.
f For more information about the configuration pins power supply, refer to “Device
Configuration Pins” on page 11–43.
Table 11–4. Stratix III MSEL Pin Settings for FPP Configuration Schemes
Configuration Scheme MSEL2 MSEL1 MSEL0
Fast Passive Parallel (FPP) 0 0 0
FPP with the design security feature, decompression feature, or
0 0 1
both enabled (1)
Note to Table 11–4:
(1) These modes are only supported when using a MAX II device or a microprocessor with flash memory for
configuration. In these modes, the host system must output a DCLK that is ×4 the data rate.
You can perform FPP configuration of Stratix III devices using an intelligent host,
such as a MAX II device, or a microprocessor.
1 If you are using the Stratix III decompression feature, design security feature or both,
the external host must be able to send a DCLK frequency that is four times the data
rate.
The ×4 DCLK signal does not require an additional pin and is sent on the DCLK pin.
The maximum DCLK frequency is 100 MHz, which results in a maximum data rate of
200 Mbps. If you are not using the Stratix III decompression or design security
features, the data rate is the same as the DCLK frequency.
Figure 11–3 shows the configuration interface connections between the Stratix III
device and a MAX II device for single device configuration.
Memory
VCCPGM (1) VCCPGM (1)
ADDR DATA[7..0]
MSEL[2..0]
CONF_DONE
GND
nSTATUS
Upon power-up, the Stratix III device goes through a POR. The POR delay is
dependent on the PORSEL pin setting. When PORSEL is driven low, the POR time is
approximately 100 ms. When PORSEL is driven high, the POR time is approximately
12 ms. During POR, the device resets, holds nSTATUS low, and tri-states all user I/O
pins. After the device successfully exits POR, all user I/O pins continue to be
tri-stated. If nIO_pullup is driven low during power-up and configuration, the user
I/O pins and dual-purpose I/O pins have weak pull-up resistors, which are on (after
POR) before and during configuration. If nIO_pullup is driven high, the weak
pull-up resistors are disabled.
The configuration cycle consists of three stages: reset, configuration, and initialization.
While nCONFIG or nSTATUS is low, the device is in the reset stage. To initiate
configuration, the MAX II device must drive the nCONFIG pin from low to high.
1 VCC, VCCIO, VCCPGM, and VCCPD of the banks where the configuration and JTAG pins
reside must be fully powered to the appropriate voltage levels to begin the
configuration process.
When nCONFIG goes high, the device comes out of reset and releases the open-drain
nSTATUS pin, which is then pulled high by an external 10-kΩ pull-up resistor. After
nSTATUS is released, the device is ready to receive configuration data and the
configuration stage begins. When nSTATUS is pulled high, the MAX II device places
the configuration data one byte at a time on the DATA[7..0] pins.
1 Stratix III devices receive configuration data on the DATA[7..0] pins and the clock is
received on the DCLK pin. Data is latched into the device on the rising edge of DCLK. If
you are using the Stratix III decompression feature, design security feature, or both,
the configuration data is latched on the rising edge of every fourth DCLK cycle. After
the configuration data is latched in, it is processed during the following three DCLK
cycles.
Data is continuously clocked into the target device until CONF_DONE goes high. A
low-to-high transition on CONF_DONE indicates configuration is complete and
initialization of the device can begin. The CONF_DONE pin must have an external
10-kΩ pull-up resistor for the device to initialize.
In Stratix III devices, the initialization clock source is either the internal oscillator
(typically 10 MHz) or the optional CLKUSR pin. By default, the internal oscillator is
the clock source for initialization. If you use the internal oscillator, the Stratix III
device receives enough clock cycles for proper initialization. Therefore, if the internal
oscillator is the initialization clock source, sending the entire configuration file to the
device is sufficient to configure and initialize the device. Driving DCLK to the device
after configuration is complete does not affect device operation.
You can also synchronize initialization of multiple devices or delay initialization with
the CLKUSR option. You can turn on the Enable user-supplied start-up clock
(CLKUSR) option in the Quartus II software from the General tab of the Device and
Pin Options dialog box. Supplying a clock on CLKUSR does not affect the
configuration process. After the CONF_DONE pin transitions high, CLKUSR is enabled
after the time specified as tCD2CU. When this time period elapses, Stratix III devices
require 4,436 clock cycles to initialize properly and enter user mode. Stratix III devices
support a CLKUSR fMAX of 100 MHz.
An optional INIT_DONE pin is available, which signals the end of initialization and
the start of user mode with a low-to-high transition. The Enable INIT_DONE Output
option is available in the Quartus II software on the General tab of the Device and
Pin Options dialog box. If you use the INIT_DONE pin, it is high because of an
external 10-kΩ pull-up resistor when nCONFIG is low and during the beginning of
configuration. After the option bit to enable INIT_DONE is programmed into the
device (during the first frame of configuration data), the INIT_DONE pin goes low.
When initialization is complete, the INIT_DONE pin is released and pulled high. The
MAX II device must be able to detect this low-to-high transition, which signals the
device has entered user mode. When initialization is complete, the device enters user
mode. In user mode, the user I/O pins no longer have weak pull-up resistors and
function as assigned in your design.
To ensure DCLK and DATA[7..0] are not left floating at the end of configuration, the
MAX II device must drive them either high or low, whichever is convenient on your
board. During configuration, DATA[7..0] pins are powered by V CCPGM. After
entering user mode, these pins are available as user I/O pins that are powered by
VCCIO. When you select the FPP scheme as a default in the Quartus II software, these
I/O pins are tri-stated in user mode. To change this default option in the Quartus II
software, select the Dual-Purpose Pins tab of the Device and Pin Options dialog box.
The configuration clock (DCLK) speed must be below the specified frequency to
ensure correct configuration. No maximum DCLK period exists, which means you can
pause configuration by halting DCLK for an indefinite amount of time.
1 If you are using the Stratix III decompression feature, design security feature, or both
and need to stop DCLK, it can only be stopped three clock cycles after the last data byte
was latched into the Stratix III device. If you are using the Stratix III device without
decompression or design security feature, the DCLK can only be stopped two clock
cycles after the last data byte was latched into the Stratix III device.
By stopping DCLK, the configuration circuit allows enough clock cycles to process the
last byte of latched configuration data. When the clock restarts, the MAX II device
must provide data on the DATA[7..0] pins prior to sending the first DCLK rising
edge.
If an error occurs during configuration, the device drives its nSTATUS pin low,
resetting itself internally. The low signal on the nSTATUS pin also alerts the MAX II
device that there is an error. If the Auto-restart configuration after error option
(available in the Quartus II software on the General tab of the Device and Pin
Options dialog box) is turned on, the device releases nSTATUS after a reset time-out
period (maximum of 100 μs). After nSTATUS is released and pulled high by a pull-up
resistor, the MAX II device can try to reconfigure the target device without needing to
pulse nCONFIG low. If this option is turned off, the MAX II device must generate a
low-to-high transition (with a low pulse of at least 2 μs) on nCONFIG to restart the
configuration process.
1 If you have enabled the Auto-restart configuration after error option, the nSTATUS pin
transitions from high to low and back again to high when a configuration error is
detected. This appears as a low pulse at the nSTATUS pin with a minimum pulse width
of 10 μs to a maximum pulse width of 500 μs, as defined in the tSTATUS specification.
The MAX II device can also monitor the CONF_DONE and INIT_DONE pins to ensure
successful configuration. The MAX II device must monitor the CONF_DONE pin to
detect errors and determine when programming completes. If all configuration data is
sent, but the CONF_DONE or INIT_DONE signals have not gone high, the MAX II
device will reconfigure the target device.
1 If you use the optional CLKUSR pin and the nCONFIG is pulled low to restart
configuration during device initialization, you must ensure CLKUSR continues
toggling during the time nSTATUS is low (maximum of 100 µs).
When the device is in user mode, transitioning the nCONFIG pin low to high initiates
a reconfiguration. The nCONFIG pin should be low for at least 2 μs. When nCONFIG is
pulled low, the device also pulls nSTATUS and CONF_DONE low and all I/O pins are
tri-stated. After nCONFIG returns to a logic high level and nSTATUS is released by the
device, reconfiguration begins.
Figure 11–4 shows how to configure multiple devices using a MAX II device. This
circuit is similar to the FPP configuration circuit for a single device, except the
Stratix III devices are cascaded for multi-device configuration.
Memory
VCCPGM (1) VCCPGM (1)
ADDR DATA[7..0]
MSEL[2..0] MSEL[2..0]
CONF_DONE CONF_DONE
GND GND
nSTATUS nSTATUS
In a multi-device FPP configuration, the first device’s nCE pin is connected to GND
while its nCEO pin is connected to nCE of the next device in the chain. The last
device’s nCE input comes from the previous device, while its nCEO pin is left floating.
After the first device completes configuration in a multi-device configuration chain,
its nCEO pin drives low to activate the second device’s nCE pin, which prompts the
second device to begin configuration. The second device in the chain begins
configuration within one clock cycle; therefore, the transfer of data destinations is
transparent to the MAX II device. All other configuration pins (nCONFIG, nSTATUS,
DCLK, DATA[7..0], and CONF_DONE) are connected to every device in the chain. The
configuration signals may require buffering to ensure signal integrity and prevent
clock skew problems. Ensure that the DCLK and DATA lines are buffered for every
fourth device. Because all device CONF_DONE pins are tied together, all devices
initialize and enter user mode at the same time.
All nSTATUS and CONF_DONE pins are tied together. If any device detects an error,
configuration stops for the entire chain and you must reconfigure the entire chain. For
example, if the first device flags an error on nSTATUS, it resets the chain by pulling its
nSTATUS pin low. This behavior is similar to a single device detecting an error.
If the Auto-restart configuration after error option is turned on, the devices release
their nSTATUS pins after a reset time-out period (maximum of 100 μs). After all
nSTATUS pins are released and pulled high, the MAX II device tries to reconfigure the
chain without pulsing nCONFIG low. If this option is turned off, the MAX II device
must generate a low-to-high transition (with a low pulse of at least 2 μs) on nCONFIG
to restart the configuration process.
1 If you have enabled the Auto-restart configuration after error option, the nSTATUS pin
transitions from high to low and back again to high when a configuration error is
detected. This appears as a low pulse at the nSTATUS pin with a minimum pulse width
of 10 μs to a maximum pulse width of 500 μs, as defined in the tSTATUS specification.
In a multi-device FPP configuration chain, all Stratix III devices in the chain must
either enable or disable the decompression feature, design security feature, or both.
You cannot selectively enable the decompression feature, design security feature, or
both for each device in the chain because of the DATA and DCLK relationship. If the
chain contains devices that do not support design security, you should use a serial
configuration scheme.
If a system has multiple devices that contain the same configuration data, tie all
device nCE inputs to GND, and leave nCEO pins floating. All other configuration pins
(nCONFIG, nSTATUS, DCLK, DATA[7..0], and CONF_DONE) are connected to every
device in the chain. Configuration signals may require buffering to ensure signal
integrity and prevent clock skew problems. Ensure that the DCLK and DATA lines are
buffered for every fourth device. Devices must be the same density and package. All
devices start and complete configuration at the same time. Figure 11–5 shows a
multi-device FPP configuration when both Stratix III devices are receiving the same
configuration data.
Figure 11–5. Multiple-Device FPP Configuration Using an External Host When Both Devices Receive the Same Data
Memory
VCCPGM (1) VCCPGM (1)
ADDR DATA[7..0]
CONF_DONE CONF_DONE
GND GND
nSTATUS nSTATUS
nCE nCE
External Host nCEO N.C. (2) nCEO N.C. (2)
(MAX II Device or GND GND
Microprocessor) DATA[7..0] DATA[7..0]
nCONFIG nCONFIG
DCLK DCLK
You can use a single configuration chain to configure Stratix III devices with other
Altera devices that support FPP configuration, such as other types of Stratix devices.
To ensure that all devices in the chain complete configuration at the same time, or that
an error flagged by one device initiates reconfiguration in all devices, tie all of the
device CONF_DONE and nSTATUS pins together.
f For more information about configuring multiple Altera devices in the same
configuration chain, refer to Configuring Mixed Altera FPGA Chains in the Configuration
Handbook.
INIT_DONE
tCD2UM
Table 11–5 defines the timing parameters for Stratix III devices for FPP configuration
when the decompression and the design security features are not enabled.
Table 11–5. FPP Timing Parameters for Stratix III Devices (Note 1) (Part 1 of 2)
Symbol Parameter Minimum Maximum Units
tCF 2CD nCONFIG low to CONF_DONE low — 800 ns
tCF 2ST0 nCONFIG low to nSTATUS low — 800 ns
tCF G nCONFIG low pulse width 2 — μs
tSTATUS nSTATUS low pulse width 10 100 (2) μs
tCF 2ST1 nCONFIG high to nSTATUS high — 100 (2) μs
tCF 2CK nCONFIG high to first rising edge on DCLK 100 — μs
tST2C K nSTATUS high to first rising edge of DCLK 2 — μs
tDSU Data setup time before rising edge on DCLK 5 — ns
tDH Data hold time after rising edge on DCLK 0 — ns
tCH DCLK high time 4 — ns
tCL DCLK low time 4 — ns
Table 11–5. FPP Timing Parameters for Stratix III Devices (Note 1) (Part 2 of 2)
Symbol Parameter Minimum Maximum Units
tCLK DCLK period 10 — ns
fM AX DCLK frequency — 100 MHz
tR Input rise time — 40 ns
t Input fall time — 40 ns
tCD2UM CONF_DONE high to user mode (3) 20 100 μs
4 × maximum
tCD2C U CONF_DONE high to CLKUSR enabled — —
DCLK period
tCD2CU + (4,436
tCD2UM C CONF_DONE high to user mode with CLKUSR option on × CLKUSR — —
period)
Notes to Table 11–5:
(1) Use these timing parameters when the decompression and design security features are not used.
(2) This value is obtainable if you do not delay configuration by extending the nCONFIG or nSTATUS low pulse width.
(3) The minimum and maximum numbers apply only if you chose the internal oscillator as the clock source for starting up the device.
Figure 11–7 shows the timing waveform for FPP configuration when using a MAX II
device as an external host. This waveform shows the timing when the decompression
feature, design security feature, or both are enabled.
Figure 11–7. FPP Configuration Timing Waveform with Decompression or Design Security Feature Enabled (Note 1), (2)
tCF2ST1
tCFG
nCONFIG tCF2CK
INIT_DONE
tCD2UM
Table 11–6 defines the timing parameters for Stratix III devices for FPP configuration
when the decompression feature, design security feature, or both are enabled.
Table 11–6. FPP Timing Parameters for Stratix III Devices with Decompression or Design Security Feature Enabled
(Note 1)
Symbol Parameter Minimum Maximum Units
tCF 2CD nCONFIG low to CONF_DONE low — 800 ns
tCF 2ST0 nCONFIG low to nSTATUS low — 800 ns
tCF G nCONFIG low pulse width 2 — μs
tSTATUS nSTATUS low pulse width 10 100 (2) μs
tCF 2ST1 nCONFIG high to nSTATUS high — 100 (2) μs
tCF 2CK nCONFIG high to first rising edge on DCLK 100 — μs
tST2C K nSTATUS high to first rising edge of DCLK 2 — μs
tDSU Data setup time before rising edge on DCLK 5 — ns
tDH Data hold time after rising edge on DCLK 30 — ns
tCH DCLK high time 4 — ns
tCL DCLK low time 4 — ns
tCLK DCLK period 10 — ns
fM AX DCLK frequency — 100 MHz
tDATA Data rate — 200 Mbps
tR Input rise time — 40 ns
t Input fall time — 40 ns
tCD2UM CONF_DONE high to user mode (3) 20 100 μs
4 × maximum
tCD2C U CONF_DONE high to CLKUSR enabled — —
DCLK period
tCD2C U + (4,436 ×
tCD2UM C CONF_DONE high to user mode with CLKUSR option on — —
CLKUSR period)
Notes to Table 11–6:
(1) Use these timing parameters when the decompression and design security features are used.
(2) This value is obtainable if you do not delay configuration by extending the nCONFIG or nSTATUS low pulse width.
(3) The minimum and maximum numbers apply only if you chose the internal oscillator as the clock source for starting up the device.
f Device configuration options and how to create configuration files are discussed
further in the Device Configuration Options and Configuration File Formats chapters in
volume 2 of the Configuration Handbook.
f For more information about serial configuration devices, refer to the Serial
Configuration Devices Data Sheet in the Configuration Handbook.
1 The Stratix III decompression and design security features are fully available when
configuring your Stratix III device using fast AS mode.
Table 11–7 lists the MSEL pin settings for the AS configuration scheme.
Table 11–7. Stratix III MSEL Pin Settings for AS Configuration Schemes (Note 1)
Configuration Scheme MSEL2 MSEL1 MSEL0
Fast AS (40 MHz) 0 1 1
Remote system upgrade fast AS (40 MHz) 0 1 1
Note to Table 11–7:
(1) Use EPCS16, EPCS64, or EPCS128 devices.
Serial configuration devices have a four-pin interface: serial clock input (DCLK), serial
data output (DATA), AS data input (ASDI), and an active-low chip select (nCS). This
four-pin interface connects to Stratix III device pins, as shown in Figure 11–8.
10 kΩ 10 kΩ 10 kΩ
Serial Configuration
Stratix III FPGA
Device
nSTATUS
CONF_DONE nCEO N.C.
nCONFIG
nCE
GND
VCCPGM
DATA DATA0
DCLK DCLK MSEL2
nCS nCSO MSEL1
ASDI ASDO MSEL0
(2)
GND
Upon power-up, the Stratix III devices go through a POR. The POR delay is
dependent on the PORSEL pin setting. When PORSEL is driven low, the POR time is
approximately 100 ms. If PORSEL is driven high, the POR time is approximately
12 ms. During POR, the device will reset, hold nSTATUS and CONF_DONE low, and
tri-state all user I/O pins. After the device successfully exits POR, all user I/O pins
continue to be tri-stated. If nIO_pullup is driven low during power-up and
configuration, the user I/O pins and dual-purpose I/O pins will have weak pull-up
resistors which are on (after POR) before and during configuration. If nIO_pullup is
driven high, the weak pull-up resistors are disabled.
The configuration cycle consists of three stages: reset, configuration, and initialization.
While nCONFIG or nSTATUS are low, the device is in reset. After POR, the Stratix III
device releases nSTATUS, which is pulled high by an external 10-kΩ pull-up resistor
and enters configuration mode.
1 To begin configuration, power the VCC, VCCIO, VCCPGM, and VCCPD voltages (for the banks
where the configuration and JTAG pins reside) to the appropriate voltage levels.
The serial clock (DCLK) generated by the Stratix III device controls the entire
configuration cycle and provides the timing for the serial interface. Stratix III devices
use an internal oscillator to generate DCLK. Using the MSEL[] pins, you can select to
use a 40 MHz oscillator.
In fast AS configuration schemes, Stratix III devices drive out control signals on the
falling edge of DCLK. The serial configuration device responds to the instructions by
driving out configuration data on the falling edge of DCLK. Then the data is latched
into the Stratix III device on the following falling edge of DCLK.
In configuration mode, Stratix III devices enable the serial configuration device by
driving the nCSO output pin low, which connects to the chip select (nCS) pin of the
configuration device. The Stratix III device uses the serial clock (DCLK) and serial data
output (ASDO) pins to send operation commands, read address signals, or both to the
serial configuration device. The configuration device provides data on its serial data
output (DATA) pin, which connects to the DATA0 input of the Stratix III devices.
After all configuration bits are received by the Stratix III device, it releases the
open-drain CONF_DONE pin, which is pulled high by an external 10-kΩ resistor.
Initialization begins only after the CONF_DONE signal reaches a logic high level. All AS
configuration pins (DATA0, DCLK, nCSO, and ASDO) have weak internal pull-up
resistors that are always active. After configuration, these pins are set as input
tri-stated and are driven high by the weak internal pull-up resistors. The CONF_DONE
pin must have an external 10-kΩ pull-up resistor for the device to initialize.
In Stratix III devices, the initialization clock source is either the 10 MHz (typical)
internal oscillator (separate from the active serial internal oscillator) or the optional
CLKUSR pin. By default, the internal oscillator is the clock source for initialization. If
you use the internal oscillator, the Stratix III device has enough clock cycles for proper
initialization. You also have the flexibility to synchronize initialization of multiple
devices or to delay initialization with the CLKUSR option. You can turn on the Enable
user-supplied start-up clock (CLKUSR) option in the Quartus II software on the
General tab of the Device and Pin Options dialog box. When you enable the user
supplied start-up clock option, the CLKUSR pin is the initialization clock source.
Supplying a clock on CLKUSR does not affect the configuration process. When all
configuration data has been accepted and CONF_DONE goes high, CLKUSR is enabled
after 600 ns. After this time period elapses, Stratix III devices require 4,436 clock cycles
to initialize properly and enter user mode. Stratix III devices support a CLKUSR fMAX of
100 MHz.
An optional INIT_DONE pin is available, which signals the end of initialization and
the start of user-mode with a low-to-high transition. The Enable INIT_DONE Output
option is available in the Quartus II software on the General tab of the Device and
Pin Options dialog box. If you use the INIT_DONE pin, it will be high due to an
external 10-kΩ pull-up resistor when nCONFIG is low and during the beginning of
configuration. When the option bit to enable INIT_DONE is programmed into the
device (during the first frame of configuration data), the INIT_DONE pin goes low.
When initialization is complete, the INIT_DONE pin is released and pulled high. This
low-to-high transition signals that the device has entered user mode. When
initialization is complete, the device enters user mode. In user mode, the user I/O
pins no longer have weak pull-up resistors and function as assigned in your design.
If an error occurs during configuration, Stratix III devices assert the nSTATUS signal
low, indicating a data frame error, and the CONF_DONE signal stays low. If the
Auto-restart configuration after error option (available in the Quartus II software on
the General tab of the Device and Pin Options dialog box) is turned on, the Stratix III
device resets the configuration device by pulsing nCSO, releases nSTATUS after a reset
time-out period (maximum of 100 μs), and retries configuration. If this option is
turned off, the system must monitor nSTATUS for errors and then pulse nCONFIG low
for at least 2 μs to restart configuration.
1 If you have enabled the Auto-restart configuration after error option, the nSTATUS pin
transitions from high to low and back again to high when a configuration error is
detected. This appears as a low pulse at the nSTATUS pin with a minimum pulse width
of 10 μs to a maximum pulse width of 500 μs, as defined in the tSTATUS specification.
When the Stratix III device is in user mode, you can initiate reconfiguration by pulling
the nCONFIG pin low. The nCONFIG pin should be low for at least 2 μs. When
nCONFIG is pulled low, the device also pulls nSTATUS and CONF_DONE low and all
I/O pins are tri-stated. When nCONFIG returns to a logic high level and nSTATUS is
released by the Stratix III device, reconfiguration begins.
You can configure multiple Stratix III devices using a single serial configuration
device. You can cascade multiple Stratix III devices using the chip-enable (nCE) and
chip-enable-out (nCEO) pins. The first device in the chain must have its nCE pin
connected to ground. You must connect its nCEO pin to the nCE pin of the next device
in the chain. When the first device captures all of its configuration data from the
bitstream, it drives the nCEO pin low, enabling the next device in the chain. You must
leave the nCEO pin of the last device unconnected. The nCONFIG, nSTATUS,
CONF_DONE, DCLK, and DATA0 pins of each device in the chain are connected (refer to
Figure 11–9).
This first Stratix III device in the chain is the configuration master and controls
configuration of the entire chain. You must connect its MSEL pins to select the AS
configuration scheme. The remaining Stratix III devices are configuration slaves. You
must connect their MSEL pins to select the PS configuration scheme. Any other Altera
device that supports PS configuration can also be part of the chain as a configuration
slave. Figure 11–9 shows the pin connections for this setup.
10 kΩ 10 kΩ 10 kΩ
Serial Configuration
Device Stratix III FPGA Master Stratix III FPGA Slave
nSTATUS nSTATUS
CONF_DONE CONF_DONE nCEO N.C.
nCONFIG nCONFIG
nCE nCEO nCE
GND VCCPGM
DATA DATA0 VCCPGM
DATA0
MSEL2
DCLK DCLK MSEL2 DCLK
MSEL1 MSEL1
nCS nCSO
MSEL0 MSEL0
ASDI ASDO GND
GND
Buffers (2)
As shown in Figure 11–9, the nSTATUS and CONF_DONE pins on all target devices are
connected with external pull-up resistors. These pins are open-drain bi-directional
pins on the devices. When the first device asserts nCEO (after receiving all of its
configuration data), it releases its CONF_DONE pin. The subsequent devices in the
chain keep this shared CONF_DONE line low until they have received their
configuration data. When all target devices in the chain have received their
configuration data and released CONF_DONE, the pull-up resistor drives a high level
on this line and all devices simultaneously enter initialization mode.
If an error occurs at any point during configuration, the nSTATUS line is driven low
by the failing device. If you enable the Auto-restart configuration after error option,
reconfiguration of the entire chain begins after a reset time-out period (maximum of
100 µs). If the Auto-restart configuration after error option is turned off, the external
system must monitor nSTATUS for errors and then pulse nCONFIG low to restart
configuration. The external system can pulse nCONFIG if it is under system control
rather than tied to VCCPGM.
1 If you have enabled the Auto-restart configuration after error option, the nSTATUS pin
transitions from high to low and back again to high when a configuration error is
detected. This appears as a low pulse at the nSTATUS pin with a minimum pulse width
of 10 μs to a maximum pulse width of 500 μs, as defined in the tSTATUS specification.
1 While you can cascade Stratix III devices, you cannot cascade or chain together serial
configuration devices.
Figure 11–10. Multi-Device Fast AS Configuration When the Devices Receive the Same Data Using a Single SOF
Stratix III
FPGA Slave
nSTATUS
CONF_DONE nCEO N.C.
nCONFIG
nCE
DATA0 VCCPGM
MSEL2
DCLK
10 kΩ 10 kΩ 10 kΩ MSEL1
MSEL0
GND
Serial Configuration Stratix III Stratix III
Device FPGA Master FPGA Slave
nSTATUS nSTATUS
CONF_DONE CONF_DONE nCEO N.C.
nCONFIG nCONFIG
nCE nCEO N.C. nCE
GND
GND VCCPGM
DATA DATA0 DATA0 VCCPGM
MSEL2 MSEL2
DCLK DCLK DCLK
MSEL1 MSEL1
nCS nCSO
MSEL0 MSEL0
ASDI ASDO
GND
GND
Stratix III
FPGA Slave
nSTATUS
Buffers (2) CONF_DONE nCEO N.C.
nCONFIG
nCE
DATA0 VCCPGM
MSEL2
DCLK
MSEL1
MSEL0
GND
Example 11–1.
15 Mbits × (25 ns / 1 bit) = 375 ms
tPOR
nCONFIG
nSTATUS
CONF_DONE
nCSO
tCL
DCLK
tDH tCH
INIT_DONE
Table 11–8 defines the timing parameters for Stratix III devices for fast AS
configuration.
f For more information about the SFL, refer to AN 370: Using the Serial FlashLoader with
the Quartus II Software.
f For more information about the USB-Blaster download cable, refer to the USB-Blaster
Download Cable User Guide. For more information about the ByteBlaster II cable, refer
to the ByteBlaster II Download Cable User Guide.
10 kΩ 10 kΩ 10 kΩ
DCLK DCLK
MSEL2
nCS nCSO MSEL1
ASDI ASDO MSEL0
GND
You can program serial configuration devices with the Quartus II software using the
Altera programming hardware and the appropriate configuration device
programming adapter.
In production environments, you can program serial configuration devices using
multiple methods. You can use Altera programming hardware or other third-party
programming hardware to program blank serial configuration devices before they are
mounted onto PCBs. Alternatively, you can use an on-board microprocessor to
program the serial configuration device in-system using C-based software drivers
provided by Altera.
You can program a serial configuration device in-system by an external
microprocessor using SRunner. SRunner is a software driver developed for embedded
serial configuration device programming that can be easily customized to fit in
different embedded systems. SRunner is able to read a raw programming data (.rpd)
file and write to the serial configuration devices. The serial configuration device
programming time using SRunner is comparable to the programming time with the
Quartus II software.
f For more information about SRunner, refer to AN 418: SRunner: An Embedded Solution
for EPCS Programming and the source code on the Altera website at www.altera.com.
f For more information about programming serial configuration devices and fast AS
Configuration Timing, refer to the Serial Configuration Devices (EPCS1, EPCS4,
EPCS16, EPCS64, and EPCS128) Data Sheet in the Configuration Handbook.
1 The Stratix III decompression and design security features are fully available when
configuring your Stratix III device using PS mode.
Table 11–9 lists the MSEL pin settings when using the PS configuration scheme.
Table 11–9. Stratix III MSEL Pin Settings for PS Configuration Scheme
Configuration Scheme MSEL2 MSEL1 MSEL0
PS 0 1 0
Memory
VCCPGM (1) VCCPGM (1)
ADDR DATA0
CONF_DONE
nSTATUS
GND
Upon power-up, Stratix III devices go through a POR. The POR delay is dependent on
the PORSEL pin setting. When PORSEL is driven low, the POR time is approximately
100 ms. When PORSEL is driven high, the POR time is approximately 12 ms. During
POR, the device resets, holds nSTATUS low, and tri-states all user I/O pins. When the
device successfully exits POR, all user I/O pins continue to be tri-stated. If
nIO_pullup is driven low during power-up and configuration, the user I/O pins
and dual-purpose I/O pins will have weak pull-up resistors that are on (after POR)
before and during configuration. If nIO_pullup is driven high, the weak pull-up
resistors are disabled.
The configuration cycle consists of three stages: reset, configuration, and initialization.
While nCONFIG or nSTATUS are low, the device is in reset. To initiate configuration,
the MAX II device must generate a low-to-high transition on the nCONFIG pin.
1 VCC, VCCIO, VCCPGM, and VCCPD of the banks where the configuration and JTAG pins
reside must be fully powered to the appropriate voltage levels to begin the
configuration process.
When nCONFIG goes high, the device comes out of reset and releases the open-drain
nSTATUS pin, which is then pulled high by an external 10-kΩ pull-up resistor. When
nSTATUS is released, the device is ready to receive configuration data and the
configuration stage begins. When nSTATUS is pulled high, the MAX II device should
place the configuration data one bit at a time on the DATA0 pin. If you are using
configuration data in .rbf, .hex, or .ttf format, you must send the least significant bit
(LSB) of each data byte first. For example, if the RBF contains the byte sequence 02
1B EE 01 FA, the serial bitstream you must transmit to the device is 0100-0000
1101-1000 0111-0111 1000-0000 0101-1111.
The Stratix III device receives configuration data on the DATA0 pin and the clock is
received on the DCLK pin. Data is latched into the device on the rising edge of DCLK.
Data is continuously clocked into the target device until CONF_DONE goes high. After
the device has received all configuration data successfully, it releases the open-drain
CONF_DONE pin, which is pulled high by an external 10-kΩ pull-up resistor. A
low-to-high transition on CONF_DONE indicates configuration is complete and
initialization of the device can begin. The CONF_DONE pin must have an external
10-kΩ pull-up resistor for the device to initialize.
In Stratix III devices, the initialization clock source is either the internal oscillator
(typically 10 MHz) or the optional CLKUSR pin. By default, the internal oscillator is
the clock source for initialization. If you use the internal oscillator, the Stratix III
device has enough clock cycles for proper initialization. Therefore, if the internal
oscillator is the initialization clock source, sending the entire configuration file to the
device is sufficient to configure and initialize the device. Driving DCLK to the device
after configuration is complete does not affect device operation.
You also have the flexibility to synchronize initialization of multiple devices or to
delay initialization with the CLKUSR option. You can turn on the Enable
user-supplied start-up clock (CLKUSR) option in the Quartus II software on the
General tab of the Device and Pin Options dialog box. If you supply a clock on
CLKUSR, it will not affect the configuration process. After all configuration data has
been accepted and CONF_DONE goes high, CLKUSR will be enabled after the time
specified as tCD2CU. After this time period elapses, Stratix III devices require 4,436 clock
cycles to initialize properly and enter user mode. Stratix III devices support a CLKUSR
fMAX of 100 MHz.
An optional INIT_DONE pin is available, which signals the end of initialization and
the start of user-mode with a low-to-high transition. The Enable INIT_DONE Output
option is available in the Quartus II software on the General tab of the Device and
Pin Options dialog box. If you use the INIT_DONE pin, it will be high due to an
external 10-kΩ pull-up resistor when nCONFIG is low and during the beginning of
configuration. When the option bit to enable INIT_DONE is programmed into the
device (during the first frame of configuration data), the INIT_DONE pin will go low.
When initialization is complete, the INIT_DONE pin will be released and pulled high.
The MAX II device must be able to detect this low-to-high transition, which signals
the device has entered user mode. When initialization is complete, the device enters
user mode. In user mode, the user I/O pins will no longer have weak pull-up resistors
and will function as assigned in your design.
To ensure DCLK and DATA0 are not left floating at the end of configuration, the
MAX II device must drive them either high or low, whichever is convenient on your
board. The DATA[0] pin is available as a user I/O pin after configuration. When you
choose the PS scheme as a default in the Quartus II software, this I/O pin is tri-stated
in user mode and should be driven by the MAX II device. To change this default
option in the Quartus II software, click the Dual-Purpose Pins tab of the Device and
Pin Options dialog box.
The configuration clock (DCLK) speed must be below the specified frequency to
ensure correct configuration. No maximum DCLK period exists, which means you can
pause configuration by halting DCLK for an indefinite amount of time.
If an error occurs during configuration, the device drives its nSTATUS pin low,
resetting itself internally. The low signal on the nSTATUS pin also alerts the MAX II
device that there is an error. If the Auto-restart configuration after error option
(available in the Quartus II software on the General tab of the Device and Pin
Options dialog box) is turned on, the Stratix III device releases nSTATUS after a reset
time-out period (maximum of 100 μs). After nSTATUS is released and pulled high by a
pull-up resistor, the MAX II device can attempt to reconfigure the target device
without needing to pulse nCONFIG low. If this option is turned off, the MAX II device
must generate a low-to-high transition (with a low pulse of at least 2 μs) on nCONFIG
to restart the configuration process.
1 If you have enabled the Auto-restart configuration after error option, the nSTATUS pin
transitions from high to low and back again to high when a configuration error is
detected. This appears as a low pulse at the nSTATUS pin with a minimum pulse width
of 10 μs to a maximum pulse width of 500 μs, as defined in the tSTATUS specification.
The MAX II device can also monitor the CONF_DONE and INIT_DONE pins to ensure
successful configuration. The CONF_DONE pin must be monitored by the MAX II
device to detect errors and determine when programming completes. If all
configuration data is sent, but CONF_DONE or INIT_DONE have not gone high, the
MAX II device must reconfigure the target device.
1 If you use the optional CLKUSR pin and nCONFIG is pulled low to restart
configuration during device initialization, you must ensure that CLKUSR continues
toggling during the time nSTATUS is low (maximum of 100 µs).
When the device is in user mode, you can initiate a reconfiguration by transitioning
the nCONFIG pin low-to-high. The nCONFIG pin must be low for at least 2 μs. When
nCONFIG is pulled low, the device also pulls nSTATUS and CONF_DONE low and all
I/O pins are tri-stated. When nCONFIG returns to a logic high level and nSTATUS is
released by the device, reconfiguration begins.
Figure 11–14 shows how to configure multiple devices using a MAX II device. This
circuit is similar to the PS configuration circuit for a single device, except Stratix III
devices are cascaded for multi-device configuration.
Memory
VCCPGM (1) VCCPGM (1)
ADDR DATA0
CONF_DONE CONF_DONE
nSTATUS nSTATUS nCEO N.C.
GND GND
In multi-device PS configuration, the first device’s nCE pin is connected to GND while
its nCEO pin is connected to nCE of the next device in the chain. The last device’s nCE
input comes from the previous device, while its nCEO pin is left floating. After the first
device completes configuration in a multi-device configuration chain, its nCEO pin
drives low to activate the second device’s nCE pin, which prompts the second device
to begin configuration. The second device in the chain begins configuration within
one clock cycle. Therefore, the transfer of data destinations is transparent to the
MAX II device. All other configuration pins (nCONFIG, nSTATUS, DCLK, DATA0, and
CONF_DONE) are connected to every device in the chain. Configuration signals can
require buffering to ensure signal integrity and prevent clock skew problems. Ensure
that the DCLK and DATA lines are buffered for every fourth device. Because all device
CONF_DONE pins are tied together, all devices initialize and enter user mode at the
same time.
Since all nSTATUS and CONF_DONE pins are tied together, if any device detects an
error, configuration stops for the entire chain and you must reconfigure the entire
chain. For example, if the first device flags an error on nSTATUS, it resets the chain by
pulling its nSTATUS pin low. This behavior is similar to a single device detecting an
error.
If the Auto-restart configuration after error option is turned on, the devices release
their nSTATUS pins after a reset time-out period (maximum of 100 μs). After all
nSTATUS pins are released and pulled high, the MAX II device can attempt to
reconfigure the chain without needing to pulse nCONFIG low. If this option is turned
off, the MAX II device must generate a low-to-high transition (with a low pulse of at
least 2 μs) on nCONFIG to restart the configuration process.
1 If you have enabled the Auto-restart configuration after error option, the nSTATUS pin
transitions from high to low and back again to high when a configuration error is
detected. This appears as a low pulse at the nSTATUS pin with a minimum pulse width
of 10 μs to a maximum pulse width of 500 μs, as defined in the tSTATUS specification.
In your system, you can have multiple devices that contain the same configuration
data. To support this configuration scheme, all device nCE inputs are tied to GND,
while nCEO pins are left floating. All other configuration pins (nCONFIG, nSTATUS,
DCLK, DATA0, and CONF_DONE) are connected to every device in the chain.
Configuration signals can require buffering to ensure signal integrity and prevent
clock skew problems. Ensure that the DCLK and DATA lines are buffered for every
fourth device. Devices must be the same density and package. All devices will start
and complete configuration at the same time. Figure 11–15 shows multi-device PS
configuration when both Stratix III devices are receiving the same configuration data.
Figure 11–15. Multiple-Device PS Configuration When Both Devices Receive the Same Data
Memory
VCCPGM (1) VCCPGM (1)
ADDR DATA0
CONF_DONE CONF_DONE
nSTATUS nSTATUS nCEO N.C. (2)
GND GND
You can use a single configuration chain to configure Stratix III devices with other
Altera devices. To ensure that all devices in the chain complete configuration at the
same time, or that an error flagged by one device initiates reconfiguration in all
devices, all of the device CONF_DONE and nSTATUS pins must be tied together.
f For more information about configuring multiple Altera devices in the same
configuration chain, refer to the Configuring Mixed Altera FPGA Chains chapter in the
Configuration Handbook.
PS Configuration Timing
Figure 11–16 shows the timing waveform for PS configuration when using a MAX II
device as an external host.
nCONFIG tCF2CK
INIT_DONE
tCD2UM
Table 11–10 defines the timing parameters for Stratix III devices for PS configuration.
f Device configuration options and how to create configuration files are discussed
further in the Device Configuration Options and Configuration File Formats chapters in
volume 2 of the Configuration Handbook.
1 For all configuration and timing information, refer to “PS Configuration Using a
MAX II Device as an External Host” on page 11–27. This section is also applicable
when using a microprocessor as an external host.
Upon power-up, the Stratix III devices go through a POR. The POR delay is
dependent on the PORSEL pin setting. When PORSEL is driven low, the POR time is
approximately 100 ms. If PORSEL is driven high, the POR time is approximately
12 ms. During POR, the device will reset, hold nSTATUS low, and tri-state all user I/O
pins. After the device successfully exits POR, all user I/O pins continue to be
tri-stated. If nIO_pullup is driven low during power-up and configuration, the user
I/O pins and dual-purpose I/O pins will have weak pull-up resistors which are on
(after POR) before and during configuration. If nIO_pullup is driven high, the weak
pull-up resistors are disabled.
The configuration cycle consists of three stages: reset, configuration and initialization.
While nCONFIG or nSTATUS are low, the device is in reset. To initiate configuration in
this scheme, the download cable generates a low-to-high transition on the nCONFIG
pin.
1 To begin configuration, power the VCC, VCCIO, VCCPGM, and VCCPD voltages (for the banks
where the configuration and JTAG pins reside) to the appropriate voltage levels.
When nCONFIG goes high, the device comes out of reset and releases the open-drain
nSTATUS pin, which is then pulled high by an external 10-kΩ pull-up resistor. After
nSTATUS is released, the device is ready to receive configuration data and the
configuration stage begins. The programming hardware or download cable then
places the configuration data one bit at a time on the device's DATA0 pin. The
configuration data is clocked into the target device until CONF_DONE goes high. The
CONF_DONE pin must have an external 10-kΩ pull-up resistor in order for the device
to initialize.
When using a download cable, setting the Auto-restart configuration after error
option does not affect the configuration cycle because you must manually restart
configuration in the Quartus II software when an error occurs. Additionally, the
Enable user-supplied start-up clock (CLKUSR) option has no affect on the device
initialization since this option is disabled in the SOF when programming the device
using the Quartus II programmer and download cable. Therefore, if you turn on the
CLKUSR option, you do not need to provide a clock on CLKUSR when you are
configuring the device with the Quartus II programmer and a download cable.
Figure 11–17 shows PS configuration for Stratix III devices using a USB-Blaster,
MasterBlaster, ByteBlaster II, ByteBlasterMV, or EthernetBlaster cable.
GND
nCE nCEO N.C. Download Cable
10-Pin Male Header
GND (PS Mode)
DCLK
Pin 1
DATA0 VCCPGM
nCONFIG
GND
VIO (3)
Shield
GND
You can use a download cable to configure multiple Stratix III devices by connecting
each device's nCEO pin to the subsequent device's nCE pin. The first device's nCE pin
is connected to GND while its nCEO pin is connected to the nCE of the next device in
the chain. The last device's nCE input comes from the previous device, while its nCEO
pin is left floating. All other configuration pins (nCONFIG, nSTATUS, DCLK, DATA0,
and CONF_DONE) are connected to every device in the chain. Because all CONF_DONE
pins are tied together, all devices in the chain initialize and enter user mode at the
same time.
In addition, because the nSTATUS pins are tied together, the entire chain halts
configuration if any device detects an error. The Auto-restart configuration after
error option does not affect the configuration cycle because you must manually restart
configuration in the Quartus II software when an error occurs.
Figure 11–18 shows how to configure multiple Stratix III devices with a download
cable.
GND
nCEO N.C.
nCE
DATA0
nCONFIG
f For more information about how to use the USB-Blaster, MasterBlaster, ByteBlaster II,
ByteBlasterMV, or EthernetBlaster cable, refer to the following user guides:
JTAG Configuration
The JTAG has developed a specification for boundary-scan testing. This
boundary-scan test (BST) architecture offers the capability to efficiently test
components on PCBs with tight lead spacing. The BST architecture can test pin
connections without using physical test probes and capture functional data while a
device is operating normally. You can also use the JTAG circuitry to shift
configuration data into the device. The Quartus II software automatically generates
SOFs that can be used for JTAG configuration with a download cable in the Quartus II
software programmer.
f For more information about JTAG boundary-scan testing and commands available
using Stratix III devices, refer to the following documents:
■ IEEE 1149.1 (JTAG) Boundary Scan Testing in Stratix III Device chapter of the
Stratix III Device Handbook
■ AN 425: Using the Command-Line Jam STAPL Solution for Device Programming
Stratix III devices are designed such that JTAG instructions have precedence over any
device configuration modes. Therefore, JTAG configuration can take place without
waiting for other configuration modes to complete. For example, if you attempt JTAG
configuration of Stratix III devices during PS configuration, PS configuration is
terminated and JTAG configuration begins.
1 You cannot use the Stratix III decompression or design security features if you are
configuring your Stratix III device when using JTAG-based configuration.
1 A device operating in JTAG mode uses four required pins, TDI, TDO, TMS, and TCK,
and one optional pin, TRST. The TCK pin has an internal weak pull-down resistor,
while the TDI, TMS, and TRST pins have weak internal pull-up resistors (typically
25 kΩ). JTAG output pin TDO and all JTAG input pins are powered by the
2.5 V/3.0 V/3.3 V VCCPD power supply of I/O bank 1A. All the JTAG pins support
only LVTTL I/O standard.
All user I/O pins are tri-stated during JTAG configuration. Table 11–11 explains each
JTAG pin's function.
f The TDO output is powered by the VCCPD power supply of I/O bank 1A. For
recommendations on how to connect a JTAG chain with multiple voltages across the
devices in the chain, refer to the IEEE 1149.1 (JTAG) Boundary Scan Testing in Stratix III
Devices chapter of the Stratix III Device Handbook.
During JTAG configuration, you can download data to the device on the PCB through
the USB-Blaster, MasterBlaster, ByteBlaster II, ByteBlasterMV, or EthernetBlaster
download cables. Configuring devices through a cable is similar to programming
devices in-system, except you should connect the TRST pin to VCCPD. This ensures that
the TAP controller is not reset.
(5)
VCCPGM (1)
VCCPD (1)
VCCPGM (1) 10 kΩ
Stratix III Device (5)
10 kΩ
nCE (4) TCK
TDO
GND N.C. nCE0
TMS
nSTATUS TDI Download Cable
CONF_DONE 10-Pin Male Header
VCCPD
(JTAG Mode)
(2) nCONFIG
(Top View)
(2) MSEL[2..0] TRST Pin 1
(2) DCLK VCCPD
GND
VIO (3)
1 kΩ
GND GND
To configure a single device in a JTAG chain, the programming software places all
other devices in bypass mode. In bypass mode, devices pass programming data from
the TDI pin to the TDO pin through a single bypass register without being affected
internally. This scheme enables the programming software to program or verify the
target device. Configuration data driven into the device appears on the TDO pin one
clock cycle later.
The Quartus II software verifies successful JTAG configuration upon completion. At
the end of configuration, the software checks the state of CONF_DONE through the
JTAG port. When Quartus II generates a JAM file (.jam) for a multi-device chain, it
contains instructions so that all the devices in the chain will be initialized at the same
time. If CONF_DONE is not high, the Quartus II software indicates that configuration
has failed. If CONF_DONE is high, the software indicates that configuration was
successful. After the configuration bitstream is transmitted serially through the JTAG
TDI port, the TCK port is clocked an additional 1,094 cycles to perform device
initialization.
Stratix III devices have dedicated JTAG pins that always function as JTAG pins. Not
only can you perform JTAG testing on Stratix III devices before and after, but also
during configuration. While other device families do not support JTAG testing during
configuration, Stratix III devices support the bypass, id code, and sample instructions
during configuration without interrupting configuration. All other JTAG instructions
may only be issued by first interrupting configuration and reprogramming I/O pins
using the CONFIG_IO instruction.
The CONFIG_IO instruction allows I/O buffers to be configured by using the JTAG
port and when issued, interrupts configuration. This instruction allows you to
perform board-level testing prior to configuring the Stratix III device or waiting for a
configuration device to complete configuration. When configuration has been
interrupted and JTAG testing is complete, you must reconfigure the part by using
JTAG (PULSE_CONFIG instruction) or by pulsing nCONFIG low.
The chip-wide reset (DEV_CLRn) and chip-wide output enable (DEV_OE) pins on
Stratix III devices do not affect JTAG boundary-scan or programming operations.
Toggling these pins does not affect JTAG operations (other than the usual
boundary-scan operation).
When designing a board for JTAG configuration of Stratix III devices, consider the
dedicated configuration pins. Table 11–12 lists how these pins should be connected
during JTAG configuration.
1 kΩ
You must connect the nCE pin to GND or drive it low during JTAG configuration. In
multi-device FPP, AS, and PS configuration chains, the first device's nCE pin is
connected to GND while its nCEO pin is connected to nCE of the next device in the
chain. The last device's nCE input comes from the previous device, while its nCEO pin
is left floating. In addition, the CONF_DONE and nSTATUS signals are all shared in
multi-device FPP, AS, or PS configuration chains so the devices can enter user mode at
the same time after configuration is complete. When the CONF_DONE and nSTATUS
signals are shared among all the devices, you must configure every device when JTAG
configuration is performed.
If you only use JTAG configuration, Altera recommends that you connect the circuitry
as shown in Figure 11–20, where each of the CONF_DONE and nSTATUS signals are
isolated, so that each device can enter user mode individually.
After the first device completes configuration in a multi-device configuration chain,
its nCEO pin drives low to activate the second device's nCE pin, which prompts the
second device to begin configuration. Therefore, if these devices are also in a JTAG
chain, make sure the nCE pins are connected to GND during JTAG configuration or
that the devices are JTAG configured in the same order as the configuration chain. As
long as the devices are JTAG configured in the same order as the multi-device
configuration chain, the nCEO of the previous device will drive the nCE of the next
device low when it has successfully been JTAG configured.
You can place other Altera devices that have JTAG support in the same JTAG chain for
device programming and configuration.
1 JTAG configuration support has been enhanced and allows more than 17 Stratix III
devices to be cascaded in a JTAG chain.
f For more information about configuring multiple Altera devices in the same
configuration chain, refer to the Configuring Mixed Altera Device Chains chapter in the
Configuration Handbook.
You can configure Stratix III devices using multiple configuration schemes on the
same board. Combining JTAG configuration with passive serial (PS) or active serial
(AS) configuration on your board is useful in the prototyping environment because it
allows multiple methods to configure your FPGA.
f For more information about combining JTAG configuration with other configuration
schemes, refer to the Combining Different Configuration Schemes chapter in the
Configuration Handbook.
Figure 11–21 shows JTAG configuration of a Stratix III device with a microprocessor.
VCCPGM (1) 10 kΩ
Memory Stratix III Device
10 kΩ
ADDR DATA
VCCPD nSTATUS
CONF_DONE
TRST
TDI (4) DCLK (2)
TCK (4) nCONFIG (2)
TMS (4) MSEL[2..0] (2)
Microprocessor TDO (4) nCEO N.C.
(3) nCE
GND
Jam STAPL
Jam STAPL, JEDEC standard JESD-71, is a standard file format for in-system
programmability (ISP) purposes. Jam STAPL supports programming or configuration
of programmable devices and testing of electronic systems, using the IEEE 1149.1
JTAG interface. Jam STAPL is a freely licensed open standard.
The Jam Player provides an interface for manipulating the IEEE Std. 1149.1 JTAG TAP
state machine.
f For more information about JTAG and Jam STAPL in embedded environments, refer
to AN 425: Using Command-Line Jam STAPL Solution for Device Programming. To
download the jam player, visit the Altera web site at www.altera.com.
Table 11–14 describes the dedicated configuration pins, which are required to be
connected properly on your board for successful configuration. Some of these pins
may not be required for your configuration schemes.
Table 11–14. Dedicated Configuration Pins on the Stratix III Device (Part 1 of 5)
Configuration
Pin Name User Mode Pin Type Description
Scheme
Dedicated power pin. Use this pin to power all dedicated
configuration inputs, dedicated configuration outputs,
dedicated configuration bi-direction pins, and some of
the dual functional pins that are used for configuration.
You must connect this pin to 1.8-V, 2.5-V, 3.0-V, or
VCCPGM N/A All Power 3.3-V. VC CP GM must ramp-up from 0-V to 3.3-V within 100
ms. If VC CP GM is not ramped up within this specified time,
your Stratix III device will not configure successfully. If
your system does not allow for a VCCPGM ramp-up
time of 100 ms or less, you must hold nCONFIG low
until all power supplies are stable.
Dedicated power pin. Use this pin to power the I/O
pre-drivers, the JTAG input and output pins, and the
design security circuitry.
You must connect this pin to 2.5-V, 3.0-V, or 3.3-V
depending on the I/O standards selected. For 3.3-V I/O
standards, VCCPD=3.3-V, for 3.0-V I/O standards, VCC PD
VCCPD N/A All Power = 3.0 V, for 2.5-V or below I/O standards, VC CP D = 2.5 V.
VC CP D must ramp-up from 0-V to 2.5-V / 3.0-V/3.3-V
within 100 ms. If VCC PD is not ramped up within this
specified time, your Stratix III device will not configure
successfully. If your system does not allow for a VC CPD
ramp-up time of 100 ms or less, you must hold
nCONFIG low until all power supplies are stable.
Dedicated input which selects either a POR time of 12
ms or 100 ms. A logic high (1.8 V, 2.5 V, 3.0 V, 3.3 V)
selects a POR time of approximately 12 ms and a logic
low selects a POR time of approximately 100 ms.
PORSEL N/A All Input
The PORSEL input buffer is powered by VCCPGM and
has an internal 5-kΩ pull-down resistor that is always
active. You should tie the PORSEL pin directly to
VCCPGM or GND.
Table 11–14. Dedicated Configuration Pins on the Stratix III Device (Part 2 of 5)
Configuration
Pin Name User Mode Pin Type Description
Scheme
Dedicated input that chooses whether the internal
pull-up resistor on the user I/O pins and dual-purpose
I/O pins (nCSO, nASDO, DATA[7..0], nWS, nRS,
RDYnBSY, nCS, CLKUSR, INIT_DONE) are on or off
before and during configuration. A logic high (1.8 V, 2.5
V, 3.0 V, 3.3 V) turns off the weak internal pull-up
nIO_PULLUP N/A All Input resistors, while a logic low turns them on.
The nIO-PULLUP input buffer is powered by VCCPGM
and has an internal 5-kΩ pull-down resistor that is
always active. You can tie the nIO-PULLUP directly to
VCCPGM or use a 1-kΩ pull-up resistor or tie it directly
to GND.
3-bit configuration input that sets the Stratix III device
configuration scheme. Refer to Table 11–1 for the
appropriate connections.
MSEL[2..0] N/A All Input
You must hard-wire these pins to VCCPGM or GND.
The MSEL[2..0] pins have internal 5-kΩ pull-down
resistors that are always active.
Configuration control input. Pulling this pin low during
user-mode will cause the device to lose its configuration
data, enter a reset state, and tri-state all I/O pins.
Returning this pin to a logic high level will initiate a
nCONFIG N/A All Input reconfiguration.
Configuration is possible only if this pin is high, except
in JTAG programming mode when nCONFIG is
ignored.
Table 11–14. Dedicated Configuration Pins on the Stratix III Device (Part 3 of 5)
Configuration
Pin Name User Mode Pin Type Description
Scheme
The device drives nSTATUS low immediately after
power-up and releases it after the POR time.
During user mode and regular configuration, this pin is
pulled high by an external 10-kΩ resistor.
This pin, when driven low by Stratix III, indicates that
the device is being initialized and has encountered an
error during configuration.
Status output. If an error occurs during configuration,
nSTATUS is pulled low by the target device.
Status input. If an external source drives the nSTATUS
pin low during configuration or initialization, the target
device enters an error state.
Bi-directional Driving nSTATUS low after configuration and
nSTATUS N/A All
open-drain initialization does not affect the configured device. If you
use a configuration device, driving nSTATUS low will
cause the configuration device to attempt to configure
the device, but since the device ignores transitions on
nSTATUS in user-mode, the device does not
reconfigure. To initiate a reconfiguration, nCONFIG
must be pulled low.
If you have enabled the Auto-restart configuration after
error option, the nSTATUS pin transitions from high to
low and back again to high when a configuration error is
detected. This appears as a low pulse at the nSTATUS
pin with a minimum pulse width of 10 μs to a maximum
pulse width of 500 μs, as defined in the tSTATUS
specification.
If VCC PGM and VC CIO are not fully powered up, the following
could occur:
■ VCC PGM and VC CIO are powered high enough for the
nSTATUS buffer to function properly, and
nSTATUS is driven low. When VC CPGM and VC CIO are
ramped up, POR trips and nSTATUS is released after
POR expires.
nSTATUS ■ VCC PGM and VC CIO are not powered high enough for the
— — — nSTATUS buffer to function properly. In this
(continued)
situation, nSTATUS might appear logic high,
triggering a configuration attempt that would fail
because POR did not yet trip. When VCC PD and VC CIO are
powered up, nSTATUS is pulled low because POR
did not yet trip. When POR trips after VCC PGM and VC CIO
are powered up, nSTATUS is released and pulled
high. At that point, reconfiguration is triggered and
the device is configured.
Table 11–14. Dedicated Configuration Pins on the Stratix III Device (Part 4 of 5)
Configuration
Pin Name User Mode Pin Type Description
Scheme
Status output. The target device drives the CONF_DONE
pin low before and during configuration. After all
configuration data is received without error and the
initialization cycle starts, the target device releases
CONF_DONE.
Status input. After all data is received and CONF_DONE
Bi-directional
CONF_DONE N/A All goes high, the target device initializes and enters user
open-drain
mode. The CONF_DONE pin must have an external
10-kΩ pull-up resistor in order for the device to
initialize.
Driving CONF_DONE low after configuration and
initialization does not affect the configured device. Do
not connect bus holds or ADC to CONF_DONE pin.
Active-low chip enable. The nCE pin activates the device
with a low signal to allow configuration. The nCE pin
must be held low during configuration, initialization, and
user mode. In single device configuration, it should be
nCE N/A All Input tied low. In multi-device configuration, nCE of the first
device is tied low while its nCEO pin is connected to
nCE of the next device in the chain.
The nCE pin must also be held low for successful JTAG
programming of the device.
Output that drives low when device configuration is
complete. In single device configuration, this pin is left
floating. In multi-device configuration, this pin feeds the
nCEO N/A All Output next device's nCE pin. The nCEO of the last device in
the chain is left floating.
The nCEO pin is powered by VCC PGM .
Control signal from the Stratix III device to the serial
configuration device in AS mode used to read out
ASDO (1) N/A AS Output configuration data.
In AS mode, ASDO has an internal pull-up resistor that
is always active.
Output control signal from the Stratix III device to the
serial configuration device in AS mode that enables the
nCSO (1) N/A AS Output configuration device.
In AS mode, nCSO has an internal pull-up resistor that
is always active.
Table 11–14. Dedicated Configuration Pins on the Stratix III Device (Part 5 of 5)
Configuration
Pin Name User Mode Pin Type Description
Scheme
DCLK has an internal pull-up resistor (typically 25 kΩ)
that is always active.
In AS mode, DCLK is an output from the Stratix III
Synchronous device that provides timing for the configuration
Input (PS, interface. After AS configuration, this pin is driven to an
configuration FPP)
DCLK (1) N/A inactive state. In schemes that use a configuration
schemes (PS,
FPP, AS) Output (AS) device, DCLK will be driven low after configuration is
done. In schemes that use a control host, DCLK should
be driven either high or low, whichever is more
convenient. Toggling this pin after configuration does
not affect the configured device.
Data input. In serial configuration modes, bit-wide
configuration data is presented to the target device on
N/A in AS the DATA0 pin.
mode. I/O in In AS mode, DATA0 has an internal pull-up resistor that
DATA0 (1) PS, FPP, AS Input
PS or FPP is always active.
mode After PS or FPP configuration, DATA0 is available as a
user I/O pin and the state of this pin depends on the
Dual-Purpose Pin settings.
Data inputs. Byte-wide configuration data is presented
to the target device on DATA[7..0].
In serial configuration schemes, they function as user
Parallel
I/O pins during configuration, which means they are
DATA[7..1] I/O configuration Inputs
tri-stated.
schemes (FPP)
After configuration, DATA[7..1] are available as user
I/O pins and the state of these pin depends on the
Dual-Purpose Pin settings.
Note to Table 11–14:
(1) To tri-state AS configuration pins in AS configuration scheme, turn on Enable input tri-state on active configuration pins in user mode option
from the Device and Pin Options dialog box. This tri-states DCLK, nCSO, Data0, and ASDO pins. Dual-purpose Pins Setting for Data0 is
ignored. To set Data0 to a different setting, for example to use Data0 pin as a regular I/O in user mode, turn off Enable input tri-state on
active configuration pins in user mode option and set your desired setting from the Dual-purpose Pins Setting menu.
Table 11–15 describes the optional configuration pins. If these optional configuration
pins are not enabled in the Quartus II software, they are available as general-purpose
user I/O pins. Therefore, during configuration, these pins function as user I/O pins
and are tri-stated with weak pull-up resistors.
Table 11–16 describes the dedicated JTAG pins. JTAG pins must be kept stable before
and during configuration to prevent accidental loading of JTAG instructions. The TDI,
TMS, and TRST have weak internal pull-up resistors while TCK has a weak internal
pull-down resistor (typically 25 kΩ). If you plan to use the SignalTap ® embedded logic
array analyzer, you must connect the JTAG pins of the Stratix III device to a JTAG
header on your board.
SIII51012-1.5
This chapter describes the functionality and implementation of the dedicated remote
system upgrade circuitry. It also defines several concepts related to remote system
upgrade, including factory configuration, application configuration, remote update
mode, and user watchdog timer. Additionally, this chapter provides design guidelines
for implementing remote system upgrades with the supported configuration
schemes.
System designers sometimes face challenges such as shortened design cycles,
evolving standards, and system deployments in remote locations. Stratix® III devices
help overcome these challenges with their inherent re-programmability and dedicated
circuitry to perform remote system upgrades. Remote system upgrades help deliver
feature enhancements and bug fixes without costly recalls, reduce time-to-market,
and extend product life.
Stratix III devices feature dedicated remote system upgrade circuitry. Soft logic (either
the Nios® II embedded processor or user logic) implemented in a Stratix III device can
download a new configuration image from a remote location, store it in configuration
memory, and direct the dedicated remote system upgrade circuitry to initiate a
reconfiguration cycle. The dedicated circuitry performs error detection during and
after the configuration process, recovers from any error condition by reverting back to
a safe configuration image, and provides error status information. This dedicated
remote system upgrade circuitry is unique to the Stratix series and helps to avoid
system downtime.
Remote system upgrade is supported in fast active serial (FAS) Stratix III
configuration schemes. You can also implement remote system upgrade in
conjunction with advanced Stratix III features such as real-time decompression of
configuration data and design security using the advanced encryption standard (AES)
for secure and efficient field upgrades.
Functional Description
The dedicated remote system upgrade circuitry in Stratix III devices manage remote
configuration and provides error detection, recovery, and status information. User
logic or a Nios II processor implemented in the Stratix III device logic array provides
access to the remote configuration data source and an interface to the system's
configuration memory.
Stratix III devices have remote system upgrade processes that involves the following
steps:
1. A Nios II processor (or user logic) implemented in the Stratix III device logic array
receives new configuration data from a remote location. The connection to the
remote source uses a communication protocol such as the transmission control
protocol/Internet protocol (TCP/IP), peripheral component interconnect (PCI),
user datagram protocol (UDP), universal asynchronous receiver/transmitter
(UART), or a proprietary interface.
2. The Nios II processor (or user logic) stores this new configuration data in
non-volatile configuration memory.
3. The Nios II processor (or user logic) initiates a reconfiguration cycle with the new
or updated configuration data.
4. The dedicated remote system upgrade circuitry detects and recovers from any
error(s) that might occur during or after the reconfiguration cycle, and provides
error status information to the user design.
Figure 12–1 shows the steps required for performing remote configuration updates.
(The numbers in the figure below coincide with the steps above.)
1 Stratix III devices only support remote system upgrade in the single device Fast AS
configuration scheme.
Figure 12–2 shows the block diagrams for implementing a remote system upgrade
with the Stratix III Fast AS configuration scheme.
Figure 12–2. Remote System Upgrade Block Diagram for Stratix III Fast AS Configuration Scheme
Stratix III
Device
Nios II Processor
or User Logic
Serial
Configuration
Device
You must set the mode select pins (MSEL[2..0]) to Fast AS mode to use the remote
system upgrade in your system. Table 12–1 lists the MSEL pin settings for Stratix III
devices in standard configuration mode and remote system upgrade mode. The
following sections describe the remote update of remote system upgrade mode.
f For more information about standard configuration schemes supported in Stratix III
devices, refer to the Configuring Stratix III Devices chapter in volume 1 of the Stratix III
Device Handbook.
1 When using the Fast AS mode, you must select the Remote Update mode in the
Quartus® II software and insert the ALTREMOTE_UPDATE megafunction to access
the circuitry. Refer to “ALTREMOTE_UPDATE Megafunction” on page 12–13 for
more information.
Figure 12–3. Enabling Remote Update for Stratix III Devices in Compiler Settings
Configuration Error
Reload a
Different Application
Factory
Configuration
Configuration
Error (page 0)
Reload a
Different Application
Application n
Set Control Register Configuration
and Reconfigure
Configuration Error
1 The user watchdog timer is automatically disabled for factory configurations. For
more information about the user watchdog timer, refer to “User Watchdog Timer” on
page 12–11.
If there is an error while loading the application configuration, the cause of the
reconfiguration is written by the dedicated circuitry to the remote system upgrade
status register. Actions that cause the remote system upgrade status register to be
written:
■ nSTATUS driven low externally
■ Internal CRC error
■ User watchdog timer time out
Logic Array
Update Register
[37..0] update
Shift Register
RSU User
dout din dout din timeout
Bit [4..0] Bit [37..0] State Watchdog
Machine Timer
capture capture
Logic Array
The remote system upgrade control and status registers are clocked by the 10-MHz
internal oscillator (the same oscillator that controls the user watchdog timer).
However, the remote system upgrade shift and update registers are clocked by the
user clock input (RU_CLK).
Table 12–5. Control Register Contents After an Error or Reconfiguration Trigger Condition
Control Register Setting
Reconfiguration Error/Trigger
Remote Update
nCONFIG reset All bits are 0
nSTATUS error All bits are 0
CORE triggered reconfiguration Update register
CRC error All bits are 0
Wd time out All bits are 0
Capture operations during factory configuration access the contents of the update
register. This feature is used by the user logic to verify that the page address and
watchdog timer settings were written correctly. Read operations in application
configurations access the contents of the control register. This information is used by
the user logic in the application configuration.
The user watchdog timer is a counter that counts down from the initial value loaded
into the remote system upgrade control register by the factory configuration. The
counter is 29-bits wide and has a maximum count value of 229. When specifying the
user watchdog timer value, specify only the most significant 12 bits. The granularity
of the timer setting is 2 15 cycles. The cycle time is based on the frequency of the
10-MHz internal oscillator. Table 12–6 specifies the operating range of the 10-MHz
internal oscillator.
The user watchdog timer begins counting once the application configuration enters
device user mode. This timer must be periodically reloaded or reset by the application
configuration before the timer expires by asserting RU_nRSTIMER. If the application
configuration does not reload the user watchdog timer before the count expires, a
time-out signal is generated by the remote system upgrade dedicated circuitry. The
time-out signal tells the remote system upgrade circuitry to set the user watchdog
timer status bit (Wd) in the remote system upgrade status register and reconfigures the
device by loading the factory configuration.
1 To allow remote system upgrade dedicated circuitry to reset the watchdog timer, you
must assert the RU_nRSTIMER signal active for a minimum of 250 ns. This is
equivalent to strobing the reset_timer input of the ALTREMOTE_UPDATE
megafunction high for a minimum of 250 ns.
The user watchdog timer is disabled during the configuration cycle of the device.
Errors during configuration are detected by the CRC engine. Also, the timer is
disabled for factory configurations. Functional errors should not exist in the factory
configuration since it is stored and validated during production and is never updated
remotely.
1 The user watchdog timer is disabled in factory configurations and during the
configuration cycle of the application configuration. It is enabled after the application
configuration enters user mode. If you do not wish to use the user watchdog timer
feature during application configuration user mode operation, turn this feature off by
setting Wd_en bit to 1’b0 in the update register during factory configuration user
mode operation.
ALTREMOTE_UPDATE Megafunction
The ALTREMOTE_UPDATE megafunction provides a memory-like interface to the
remote system upgrade circuitry and handles the shift register read/write protocol in
Stratix III device logic. This implementation is suitable for designs that implement the
factory configuration functions using a Nios II processor or user logic in the device.
Figure 12–8 shows the interface signals between the ALTREMOTE_UPDATE
megafunction and Nios II processor / user logic.
Figure 12–8. Interface Signals Between the ALTREMOTE_UPDATE Megafunction and the Nios II Processor
SIII51013-1.9
This chapter discusses how to use the IEEE Std. 1149.1 boundary-scan test (BST)
circuitry in Stratix® III devices. The BST architecture offers the capability to test
efficiently components on PCBs with tight lead spacing. BST architecture tests pin
connections without using physical test probes and captures functional data while a
device is operating normally. Boundary-scan cells in a device can force signals onto
pins or capture data from pin or logic array signals. Forced test data is serially shifted
into the boundary-scan cells. Captured data is serially shifted out and externally
compared to expected results. Figure 13–1 shows the concept of BST.
Boundary-Scan Cell
Serial Serial
Data In IC Pin Signal Data Out
Logic Logic
Array Array
Tested
Connection
JTAG Device 1 JTAG Device 2
In addition to BST, you can use the IEEE Std. 1149.1 controller for Stratix III device
in-circuit reconfiguration (ICR). However, this chapter only discusses the BST feature
of the IEEE Std. 1149.1 circuitry.
f For information about configuring Stratix III devices by using the IEEE Std. 1149.1
circuitry, refer to the Configuring Stratix III Devices, Hot Socketing and Power-On Reset in
Stratix III Devices, and the Remote System Upgrades with Stratix III Devices chapters.
f For recommendations about how to connect a JTAG chain with multiple voltages
across the devices in the chain, refer to “I/O Voltage Support in JTAG Chain” on
page 13–17.
The IEEE Std. 1149.1 BST circuitry requires the following registers:
■ The instruction register determines the action to be performed and the data
register to be accessed.
■ The bypass register is a one-bit-long data register that provides a minimum-length
serial path between TDI and TDO.
■ The boundary-scan register is a shift register composed of all the boundary-scan
cells of the device.
Figure 13–2 shows a functional model of the IEEE Std. 1149.1 circuitry.
TDI
TDO
UPDATEIR
CLOCKIR
SHIFTIR
Instruction Decode
TAP
TMS Controller
TCLK
UPDATEDR Data Registers
CLOCKDR Bypass Register
TRST SHIFTDR
Device ID Register
ICR Registers
IEEE Std. 1149.1 boundary-scan testing is controlled by a TAP controller. For more
information about the TAP controller, refer to “IEEE Std. 1149.1 BST Operation
Control” on page 13–7. The TMS and TCK pins operate the TAP controller. The TDI
and TDO pins provide the serial path for the data registers. The TDI pin also provides
data to the instruction register, which then generates control logic for the data
registers.
f For the Stratix III family device boundary-scan register lengths, refer to the
Configuring Stratix III Devices chapter in volume 1 of the Stratix III Device Handbook.
Figure 13–3 shows how test data is serially shifted around the periphery of the IEEE
Std. 1149.1 device.
Each peripheral
element is either an
I/O pin, dedicated
input pin, or
Internal Logic dedicated
configuration pin.
TAP Controller
Table 13–2 lists the boundary-scan register length for Stratix III devices.
Figure 13–4. Stratix III Device's User I/O BSC with IEEE Std. 1149.1 BST Circuitry
Capture Update
Registers Registers
SDO
INJ
PIN_IN
0
0
D Q D Q 1
1
INPUT INPUT
OEJ
To or From
0 0 PIN_OE
Device D Q D Q 0
I/O Cell 1 1
OE OE 1
Circuitry
and/or
Logic VCC
Array
OUTJ
0 PIN_OUT
0 Pin
D Q D Q 1
1
Output
OUTPUT OUTPUT
Buffer
SDI
Global
SHIFT CLOCK UPDATE HIGHZ MODE
Signals
Table 13–3 lists the capture and update register capabilities of all boundary-scan cells
within Stratix III devices.
Table 13–3. Stratix III Device Boundary Scan Cell Descriptions (Note 1)
Captures Drives
The IEEE Std. 1149.1 TAP controller, a 16-state machine clocked on the rising edge of
TCK, uses the TMS pin to control IEEE Std. 1149.1 operation in the device. Figure 13–5
shows the TAP controller state machine.
TEST_LOGIC/
TMS = 1 RESET
TMS = 1 TMS = 1
RUN_TEST/
TMS = 0 IDLE
TMS = 0 TMS = 0
TMS = 1 TMS = 1
CAPTURE_DR CAPTURE_IR
TMS = 0 TMS = 0
SHIFT_DR SHIFT_IR
TMS = 0 TMS = 0
TMS = 1 TMS = 1
TMS = 1 TMS = 1
EXIT1_DR EXIT1_IR
TMS = 0 TMS = 0
PAUSE_DR PAUSE_IR
TMS = 0 TMS = 0
TMS = 1 TMS = 1
TMS = 0 TMS = 0
EXIT2_DR EXIT2_IR
TMS = 1 TMS = 1
TMS = 1 TMS = 1
UPDATE_DR UPDATE_IR
TMS = 0 TMS = 0
When the TAP controller is in the TEST_LOGIC/RESET state, the BST circuitry is
disabled, the device is in normal operation, and the instruction register is initialized
with IDCODE as the initial instruction. At device power-up, the TAP controller starts
in this TEST_LOGIC/RESET state. In addition, forcing the TAP controller to the
TEST_LOGIC/RESET state is achieved by holding TMS high for five TCK clock cycles,
or by holding the TRST pin low. In the TEST_LOGIC/RESET state, the TAP controller
remains in this state as long as TMS is held high (while TCK is clocked) or TRST is held
low. Figure 13–6 shows the timing requirements for the IEEE Std. 1149.1 signals.
TMS
TDI
tJCP
tJCH tJCL t JPSU tJPH
TCK
TDO
To start IEEE Std. 1149.1 operation, select an instruction mode by advancing the TAP
controller to the shift instruction register (SHIFT_IR) state and shift in the
appropriate instruction code on the TDI pin. The waveform diagram in Figure 13–7
shows the entry of the instruction code into the instruction register. It also shows the
values of TCK, TMS, TDI, TDO, and the states of the TAP controller. From the RESET
state, TMS is clocked with the pattern 01100 to advance the TAP controller to
SHIFT_IR.
TCK
TMS
TDI
TDO
TAP_STATE SHIFT_IR
RUN_TEST/IDLE SELECT_IR_SCAN
The TDO pin is tri-stated in all states except in the SHIFT_IR and SHIFT_DR states.
The TDO pin is activated at the first falling edge of TCK after entering either of the shift
states and is tri-stated at the first falling edge of TCK after leaving either of the shift
states.
When the SHIFT_IR state is activated, TDO is no longer tri-stated, and the initial state
of the instruction register is shifted out on the falling edge of TCK. TDO continues to
shift out the contents of the instruction register as long as the SHIFT_IR state is
active. The TAP controller remains in the SHIFT_IR state as long as TMS remains low.
During the SHIFT_IR state, an instruction code is entered by shifting data on the TDI
pin on the rising edge of TCK. The last bit of the instruction code is clocked at the same
time that the next state, EXIT1_IR, is activated. Set TMS high to activate the
EXIT1_IR state. After the EXIT1_IR state is activated, TDO becomes tri-stated again.
TDO is always tri-stated except in the SHIFT_IR and SHIFT_DR states. After an
instruction code is entered correctly, the TAP controller advances to serially shift test
data in one of three modes.
The three serially shift test data instruction modes are discussed in the following
sections:
■ “SAMPLE/PRELOAD Instruction Mode” on page 13–11
■ “EXTEST Instruction Mode” on page 13–13
■ “BYPASS Instruction Mode” on page 13–15
OUTJ
0
0
D Q D Q 1
1
Capture Update
Registers Registers
Capture Update
Registers Registers
During the capture phase, multiplexers preceding the capture registers select the
active device data signals. This data is then clocked into the capture registers. The
multiplexers at the outputs of the update registers also select active device data to
prevent functional interruptions to the device. During the shift phase, the
boundary-scan shift register is formed by clocking data through the capture registers
around the device periphery and then out of the TDO pin. The device can
simultaneously shift new test data into TDI and replace the contents of the capture
registers. During the update phase, data in the capture registers is transferred to the
update registers. You can then use this data in the EXTEST instruction mode. Refer to
“EXTEST Instruction Mode” on page 13–13 for more information.
Figure 13–9 shows the SAMPLE/PRELOAD waveforms. The SAMPLE/PRELOAD
instruction code is shifted in through the TDI pin. The TAP controller advances to the
CAPTURE_DR state and then to the SHIFT_DR state, where it remains if TMS is held
low. The data that was present in the capture registers after the capture phase is
shifted out of the TDO pin. New test data shifted into the TDI pin appears at the TDO
pin after being clocked through the entire boundary-scan register. If TMS is held high
on two consecutive TCK clock cycles, the TAP controller advances to the UPDATE_DR
state for the update phase.
TCK
TMS
TDI
TDO
SHIFT_IR SHIFT_DR
TAP_STATE
EXIT1_IR SELECT_DR (1) (2) EXIT1_DR
Capture Update
Registers Registers
Capture Update
Registers Registers
EXTEST mode selects data differently than SAMPLE/PRELOAD mode. EXTEST chooses
data from the update registers as the source of the output and output-enable signals.
After the EXTEST instruction code is entered, the multiplexers select the update
register data. Therefore, data stored in these registers from a previous EXTEST or
SAMPLE/PRELOAD test cycle can be forced onto the pin signals. In the capture phase,
the results of this test data are stored in the capture registers and then shifted out of
TDO during the shift phase. You can then store new test data in the update registers
during the update phase.
The EXTEST waveform diagram in Figure 13–11 resembles the SAMPLE/PRELOAD
waveform diagram, except for the instruction code. The data shifted out of TDO
consists of the data that was present in the capture registers after the capture phase.
New test data shifted into the TDI pin appears at the TDO pin after being clocked
through the entire boundary-scan register.
TCK
TMS
TDI
TDO
SHIFT_IR SHIFT_DR
TAP_STATE
EXIT1_IR SELECT_DR (1) (2) EXIT1_DR
TCK
TMS
SHIFT_IR SHIFT_DR
1 The UES value is not user defined until after the device is configured. This value is
stored in the programmer object file (.pof) and only loaded to the device during
configuration. Before configuration, the UES value is set to the default value.
You can interface the TDI and TDO lines of the devices that have different VCCIO levels
by inserting a level shifter between the devices. If possible, you should build the JTAG
chain in such a way that a device with a higher VCCIO level drives to a device with an
equal or lower V CCIO level. This way, a level shifter is used only to shift the TDO level to
a level acceptable to the JTAG tester. Figure 13–13 shows the JTAG chain of mixed
voltages and how a level shifter is inserted in the chain.
Tester
1 When you perform JTAG boundary-scan testing before configuration, the nCONFIG
pin must be held low.
The chip-wide reset (DEV_CLRn) and chip-wide output enable (DEV_OE) pins on
Stratix III devices do not affect JTAG boundary-scan or configuration operations.
Toggling these pins does not disrupt BST operation (other than the expected BST
behavior).
When you design a board for JTAG configuration of Stratix III devices, you must
consider the connections for the dedicated configuration pins.
f For more information about using the IEEE Std.1149.1 circuitry for device
configuration, refer to the Configuring Stratix III Devices chapter in volume 1 of the
Stratix III Device Handbook.
1 If you are not using the IEEE Std. 1149.1 circuitry in Stratix III, you should
permanently disable the circuitry to ensure that you do not inadvertently enable it
when it is not required.
Table 13–7 lists the pin connections necessary for disabling the IEEE Std. 1149.1
circuitry in Stratix III devices.
You should take precautions to avoid invoking these instructions at any time.
■ Perform a SAMPLE/PRELOAD test cycle prior to the first EXTEST test cycle to
ensure that known data is present at the device pins when you enter the EXTEST
mode. If the OEJ update register contains a 0, the data in the OUTJ update register
is driven out. The state must be known and correct to avoid contention with other
devices in the system.
■ Do not perform EXTEST testing during ICR. This instruction is supported before
or after ICR, but not during ICR. Use the CONFIG_IO instruction to interrupt
configuration and then perform testing, or wait for configuration to complete.
■ If performing testing before configuration, hold the nCONFIG pin low.
f For more information about boundary scan testing, contact Altera ® Application at
www.altera.com.
f For more information about BSDL files for IEEE Std. 1149.1-compliant Stratix III
devices, refer to the Stratix III BSDL Files page on the Altera website.
To perform BST on a configured device, you will require a post configuration BSDL
file that is customized to your design. This file can be generated with the BSDL
Customizer script.
f For more information about the BSDL Customizer, refer to the Altera BSDL Support
page on the Altera website.
This section provides information on Design Security and Single Event Upset (SEU)
Mitigation in Stratix ® III devices.
■ Chapter 14, Design Security in Stratix III Devices
■ Chapter 15, SEU Mitigation in Stratix III Devices
Revision History
Refer to each chapter for its own specific revision history. For information on when
each chapter was updated, refer to the Chapter Revision Dates section, which appears
in the full handbook.
SIII51014-1.5
Introduction
This chapter provides an overview of the design security feature and its
implementation on Stratix® III devices using advanced encryption standard (AES) as
well as security modes available in Stratix III devices.
As Stratix III devices start to play a role in larger and more critical designs in
competitive commercial and military environments, it is increasingly important to
protect the designs from copying, reverse engineering, and tampering. Stratix III
devices address these concerns and are the industry’s only high-density,
high-performance devices with both volatile and non-volatile security feature
support. Stratix III devices have the ability to decrypt configuration bitstreams using
the AES algorithm, an industry standard encryption algorithm that is FIPS-197
certified. They also have a design security feature that utilizes a 256-bit security key.
Altera® Stratix III devices store configuration data in static random access memory
(SRAM) configuration cells during device operation. Because SRAM memory is
volatile, SRAM cells must be loaded with configuration data each time the device
powers-up. It is possible to intercept configuration data when it is being transmitted
from the memory source (flash memory or a configuration device) to the device. The
intercepted configuration data could then be used to configure another device.
When using the Stratix III design security feature, the security key is stored in the
Stratix III device. Depending on the security mode, you can configure the Stratix III
device using a configuration file that is encrypted with the same key, or for board
testing, configured with a normal configuration file.
The design security feature is available when configuring Stratix III devices using the
fast passive parallel (FPP) configuration mode with an external host (such as a
MAX ® II device or microprocessor), or when using fast active serial (AS) or passive
serial (PS) configuration schemes. However, the design security feature is also
available in remote update with fast AS configuration mode. The design security
feature is not available when you are configuring your Stratix III device using Joint
Test Action Group (JTAG)-based configuration. For more information, refer to
“Supported Configuration Schemes” on page 14–5.
f For more information about why this feature is secured, refer to the Design Security in
Stratix III Devices white paper.
You can program the non-volatile key to the Stratix III device without an external
battery. Also, there are no additional requirements to any of the Stratix III power
supply inputs.
VCCBAT is a dedicated power supply for volatile key storage and not shared with other
on-chip power supplies, such as V CCIO or VCC. VCCBAT continuously supplies power to
the volatile register regardless of the on-chip supply condition.
Table 14–2. Key Retention Time of Coin-Cell Type Batteries used for Volatile Key Storage
Battery Typical Worst-case (1)
35mAh 49 years 6 years
1000mAh 1429 years 190 years
Note to Table 14–2:
(1) Worst-case refers to worst-case process and 100°C junction temperature.
1 As an example, here are some lithium coin-cell type batteries used for volatile key
storage purposes: BR1220 (-30° to +80°C) and BR2477A (-40°C to +125°C).
f For more information about battery specifications, refer to the DC and Switching
Characteristics of Stratix III Devices chapter in volume 2 of the Stratix III Device
Handbook.
AES
Decryption
Step 3
Encrypted Memory or
Step 2
Configuration Configuration
File Device
Volatile Key
Secure operation with volatile key programmed and required external battery—this
mode accepts both encrypted and unencrypted configuration bitstreams. Use the
unencrypted configuration bitstream support for board-level testing only.
Non-Volatile Key
Secure operation with one time programmable (OTP) security key programmed—this
mode accepts both encrypted and unencrypted configuration bitstreams. Use the
unencrypted configuration bitstream support for board-level testing only.
1 Setting the tamper protection bit disables test mode in Stratix III devices. This process
is irreversible and prevents Altera from carrying-out failure analysis if test mode is
disabled. Contact Altera Technical Support to set the tamper protection bit.
1 You can perform Boundary Scan testing or use the SignalTap II logic analyzer to
analyze functional data with the tamper-protection bit set programmed into the
Stratix III FPGA.
No Key Operation
Only unencrypted configuration bitstreams are allowed to configure the device.
Table 14–3 summarizes the different security modes and the configuration bitstream
supported for each mode.
Non-Volatile Key
with
Tamper-Protection
Bit Set
Encrypted
Configuration File
Table 14–4 shows the configuration modes allowed in each of the security modes.
Table 14–4. Allowed Configuration Modes for Various Security Modes (Note 1) (Part 1 of 2)
Configuration
Security Mode File Allowed Configuration Modes
No key Unencrypted All configuration modes that do not engage the design security feature.
Secure with volatile key Encrypted ■ Passive serial with AES (and/or with decompression)
■ Fast passive parallel with AES (and/or with decompression)
■ Remote update fast AS with AES (and/or with decompression)
■ Fast AS (and/or with decompression)
Board-level testing with Unencrypted All configuration modes that do not engage the design security feature.
volatile key
Secure with non-volatile Encrypted ■ Passive serial with AES (and/or with decompression)
key ■ Fast passive parallel with AES (and/or with decompression)
■ Remote update fast AS with AES (and/or with decompression)
■ Fast AS (and/or with decompression)
Board-level testing with Unencrypted All configuration modes that do not engage the design security feature.
non-volatile key
Table 14–4. Allowed Configuration Modes for Various Security Modes (Note 1) (Part 2 of 2)
Configuration
Security Mode File Allowed Configuration Modes
Secure in tamper Encrypted ■ Passive serial with AES (and/or with decompression)
resistant mode using ■ Fast passive parallel with AES (and/or with decompression)
non-volatile key with
tamper protection set ■ Remote update fast AS with AES (and/or with decompression)
■ Fast AS (and/or with decompression)
Note to Table 14–4:
(1) There is no impact to the configuration time required compared to unencrypted configuration modes except fast passive parallel with AES
(and/or decompression) which requires DCLK of 4× the data rate.
1 The design security feature with encrypted configuration file is available in all
configuration methods, except JTAG. Therefore, use the design security feature in FPP
mode (when using external controller, such as a MAX II device or a microprocessor
and a flash memory), or in fast AS and PS configuration schemes.
Table 14–5 summarizes the configuration schemes that support the design security
feature both for volatile and non-volatile key programming.
Use the design security feature with other configuration features, such as compression
and remote system upgrade features. When you use compression with the design
security feature, the configuration file is first compressed and then encrypted using
the Quartus II software. During configuration, the Stratix III device first decrypts and
then decompresses the configuration file.
Conclusion
The need for design security is increasing as devices move from glue logic to
implementing critical system functions. Stratix III devices address this concern by
providing built-in design security. These devices not only offer high density, fast
performance, and cutting-edge features to meet your design needs, but also protect
your designs against IP theft and tampering of your configuration files.
SIII51015-1.7
This chapter describes how to use the error detection cyclical redundancy check
(CRC) feature when a Stratix ® III device is in user mode and recovers from CRC
errors. The purpose of the error detection CRC feature is to detect a flip in any of the
configuration CRAM bits in Stratix III devices due to a soft error. By using the error
detection circuitry, you can continuously verify the integrity of the configuration
CRAM bits.
In critical applications such as avionics, telecommunications, system control, and
military applications, it is important to be able to do the following:
■ Confirm that the configuration data stored in a Stratix III device is correct.
■ Alert the system to the occurrence of a configuration error.
1 The error detection feature has been enhanced in the Stratix III device family. In
addition, the error detection and recovery time for single event upset (SEU) in
Stratix III devices is reduced compared to Stratix II devices.
1 For Stratix III devices, use of the error detection CRC feature is provided in the
Quartus® II software version 6.1 and onwards.
1 Stratix III devices only support the error detection CRC feature at 1.1 V for VCCL. This
feature is not supported in Stratix III devices operating at 0.9 V for VCCL.
Dedicated circuitry is built into Stratix III devices and consists of a CRC error
detection feature that can optionally check for SEUs continuously and automatically.
This section describes how to activate and use the error detection CRC feature when
your Stratix III device is in user mode and describes how to recover from
configuration errors caused by CRC errors.
f Information about SEU is located on the Products page of the Altera ® website at
www.altera.com.
f For more information regarding the test methodology for the enhanced error
detection in Stratix III, refer to AN 539: Test Methodology of Error Detection and Recovery
using CRC in Altera FPGA Devices.
f For more information, refer to the Robust SEU Mitigation with Stratix III FPGAs White
Paper.
Using CRC error detection for the Stratix III family has no impact on fitting or
performance of your device.
1 There are two CRC error checks. One always runs during configuration, the second
optional CRC error check runs in the background in user mode. Both CRC error
checks use the same CRC polynomial but different error detection implementations.
For more information, refer to “Configuration Error Detection” and “User Mode Error
Detection”.
A single 16-bit CRC calculation is done on a per-frame basis. Once it has finished the
CRC calculation for a frame, the resulting 16-bit signature is hex 0000 if there are no
detected CRAM bit errors in a frame by the error detection circuitry and the output
signal CRC_ERROR is 0. If a CRAM bit error is detected by the circuitry within a frame
in the device, the resulting signature is non-zero. This causes the CRC engine to start
searching the error bit location.
Error detection in Stratix III devices calculates CRC check bits for each frame and
pulls the CRC_ERROR pin high when it detects bit errors in the chip. Within a frame, it
can detect all single-bit, double-bit, and three-bit errors. The probability of more than
three CRAM bits being flipped by an SEU event is very low. In general, for all error
patterns the probability of detection is 99.998%.
The CRC engine reports the bit location and determines the type of error for all
single-bit errors and over 99.641% of double-adjacent errors. The probability of other
error patterns is very low and the report of the bit flips error location is not
guaranteed by the CRC engine.
You can also read-out the error bit location through the Joint Test Action Group
(JTAG) and the core interface. You must shift these bits out through either the JTAG
instruction, SHIFT_EDERROR_REG, or the core interface before the CRC detects the
next error in another frame. If the next frame also has an error, you have to shift these
bits out within the amount of time of one frame CRC verification. You can choose to
extend this time interval by slowing down the error detection clock frequency, but this
slows down the error recovery time for the SEU event. Refer to Table 15–6 on
page 15–10 for the minimum update interval for Stratix III devices. If these bits are not
shifted out before the next error location is found, the previous error location and
error message is overwritten by the new information. The CRC circuit continues to
run, and if an error is detected, you must decide whether to complete a
reconfiguration or to ignore the CRC error.
The error detection logic continues to calculate the CRC_ERROR and 16-bit signatures
for the next frame of data regardless if any error has occurred in the current frame or
not. You must monitor these signals and take the appropriate actions if a soft error
occurs.
Error detection circuitry in Stratix III devices uses a 16-bit CRC-ANSI standard (16-bit
polynomial) as the CRC generator.
The computed 16-bit CRC signature for each frame is stored in registers within the
core. The total storage register size is 16 (number of bits per frame) × the number of
frames.
The Stratix III device error detection feature does not check memory blocks and I/O
buffers. These memory blocks support parity bits that are used to check the contents
of memory blocks for any error. The I/O buffers are not verified during error
detection because these bits use flip-flops as storage elements that are more resistant
to soft errors compared to CRAM cells.
The M144K TriMatrix memory block has a built-in error correction code block that
checks and corrects errors in the block. However, for logic array blocks (LABs) that are
used as MLAB memory blocks, they are ignored during error detection verification.
Thus, the CRC_ERROR signal may stay solid high or low depending on the error status
of the previous checked CRAM frame.
f For more information about error detection in the Stratix III TriMatrix memory blocks,
refer to the TriMatrix Embedded Memory Blocks in Stratix III Devices chapter.
In order to provide testing capability of the error detection block, a JTAG instruction
EDERROR_INJECT is provided. This instruction can change the content of the 21-bit
JTAG fault injection register, used for error injection in Stratix III devices, hence
enabling testing of the error detection block.
1 You can only execute the EDERROR_INJECT JTAG instruction when the device is in
user mode.
1 You can only execute the EDERROR_INJECT JTAG instruction at error detection
frequency 50 MHz. Refer to “Error Detection Timing” on page 15–9 for instructions
about how to set the error detection frequency in the Quartus II software. For the
testing of the CRC detection block with the frequency lower than 50 MHz, contact
Altera Technical Support at www.altera.com/support.
You can create Jam™ files (.jam) to automate the testing and verification process. This
allows you to verify the CRC functionality in-system, on-the-fly, without having to
reconfigure the device. You can then switch to the CRC circuit to check for real errors
induced by an SEU.
You can introduce a single error, double errors, or double errors adjacent to each other
to the configuration memory. This provides an extra way to facilitate design
verification and system fault tolerance characterization. Use the JTAG fault injection
register with EDERROR_INJECT instruction to flip the readback bits. The Stratix III
device is then forced into error test mode.
The content of the JTAG fault injection register is not loaded into the fault injection
register during the processing of the last and the first frame. It is only loaded at the
end of this period.
1 You can only introduce error injection in the first data frame, but you can monitor the
error information at any time.
For more information about the JTAG fault injection register and fault injection
register, refer to“Error Detection Registers” on page 15–7.
Table 15–2 lists how the fault injection register is implemented and describes error
injection.
Byte Location of
Description Error Type Error Byte Value
the Injected Error
Error Type (1)
Error Injection Type Depicts the location
Bit[20] Bit[19] Depicts the location
of the bit error and
of the injected error
Content 0 1 Single byte error injection corresponds to the
in the first data
1 0 Double-adjacent byte error injection error injection type
frame.
selection.
0 0 No error injection
Note to Table 15–2:
(1) Bit[20] and Bit[19] cannot both be set to 1 as this is not a valid selection. The error detection circuitry decodes it as no error injection.
1 After the test completes, Altera recommends that you reconfigure the device.
CRC_ERROR Pin
Table 15–3 lists the CRC_ERROR pin.
f The CRC_ERROR pin information for Stratix III devices is reported in Device Pin-Outs
on the Literature page of the Altera website (www.altera.com).
1 The “Error Detection Registers” section focuses on the first type, the 16-bit CRC only
when the device is in user mode.
16-Bit CRC
Readback bit Syndrome
stream with Calculation and Error
expected CRC Register
Search Engine 8
included
Error Detection Control Signals
State Machine 30
16
Error Message
CRC_ERROR
Register
Fault Injection
Register JTAG Update User Update
Register Register
JTAG Fault
Injection Register
JTAG Shift User Shift
Register Register
You can set a lower clock frequency by specifying a division factor in the Quartus II
software (refer to “Software Support” on page 15–11). The divisor is a power of two
(2), where n is between 1 and 8. The divisor ranges from 2 through 256. Refer to
Equation 15–1.
Equation 15–1.
100MHz-
Error detection frequency = -------------------
n
2
1 The error detection frequency reflects the frequency of the error detection process for
a frame because the CRC calculation in Stratix III devices is done on a per-frame basis.
You must monitor the error message to avoid missing information in the Error
Message Register. The Error Message Register is updated whenever an error occurs.
The minimum interval time between each update for the Error Message Register
depends on the device and the error detection clock frequency. Table 15–6 lists the
estimated minimum interval time between each update for the Error Message
Register for Stratix III devices.
Table 15–6. Minimum Update Interval for Error Message Register (Note 1)
Device Timing Interval (μs)
EP3SL50 9.8
EP3SL70 9.8
EP3SL110 14.8
EP3SL150 14.8
EP3SL200 19.8
EP3SE260 19.8
EP3SL340 21.8
EP3SE50 9.8
EP3SE80 14.8
EP3SE110 14.8
Note to Table 15–6:
(1) These timing numbers are preliminary.
The CRC calculation time for the error detection circuitry to check from the first until
the last frame depends on the device and the error detection clock frequency.
Table 15–7 lists the estimated time for each CRC calculation with minimum and
maximum clock frequencies for Stratix III devices. The minimum CRC calculation
time is calculated by using the maximum error detection frequency with divisor factor
1 while the maximum CRC calculation time is calculated by using the minimum error
detection frequency with divisor factor 8.
Software Support
The Quartus II software, starting with version 6.1, supports the error detection CRC
feature for Stratix III devices. Enabling this feature generates the CRC_ERROR output
to the optional dual purpose CRC_ERROR pin.
The error detection CRC feature is controlled by the Device and Pin Options dialog
box in the Quartus II software.
Enable the error detection feature using CRC by performing the following steps:
1. Open the Quartus II software and load a project that uses a Stratix III device.
2. On the Assignments menu, click Settings. The Settings dialog box is shown.
3. In the Category list, select Device. The Device page is shown.
4. Click Device and Pin Options. The Device and Pin Options dialog box is shown
(Figure 15–2).
5. In the Device and Pin Options dialog box, click the Error Detection CRC tab.
6. Turn on Enable error detection CRC (Figure 15–2).
Figure 15–2. Enabling the Error Detection CRC Feature in the Quartus II Software
7. In the Divide error check frequency by box, enter a valid divisor as documented
in Table 15–5 on page 15–9.
1 The divide value divides the frequency of the configuration oscillator output clock
that clocks the CRC circuitry.
8. Click OK.
This section provides information on Power and Thermal Management for the
Stratix ® III devices.
■ Chapter 16, Programmable Power and Temperature-Sensing Diodes in Stratix III
Devices
Revision History
Refer to each chapter for its own specific revision history. For information on when
each chapter was updated, refer to the Chapter Revision Dates section, which appears
in the full handbook.
Introduction
The total power of an FPGA includes static power and dynamic power. Static power is
the power consumed by the FPGA when it is programmed but no clocks are
operating. Dynamic power is comprised of switching power when the device is
configured and running. Dynamic power is calculated with the Equation 16–1:
Equation 16–1 shows that the frequency and toggle rate are design-dependent.
However, voltage can be varied to lower dynamic power consumption by the square
value of the voltage difference. Stratix ® III devices minimize static and dynamic
power with advanced process optimizations, selectable core voltage, and
programmable power technology. These technologies enable Stratix III designs to
optimally meet design-specific performance requirements with the lowest possible
power.
The Quartus® II software optimizes all designs with Stratix III power technology to
ensure performance is met at the lowest power consumption. This automatic process
allows you to concentrate on the functionality of your design, instead of the power
consumption of the design.
Power consumption also affects thermal management. Stratix III offers a temperature
sensing diode (TSD), which you can use with external circuitry to monitor the device
junction temperature for activities such as controlling air flow to the FPGA.
Lowering the core voltage reduces both static and dynamic power, but causes a
reduction in performance. You need to set the correct core supply voltage in the
Quartus II software settings under Operating Conditions, since the Quartus II
software analyzes the core power consumption and timing delays based on this
selection. When you compile a design, you can select either 0.9-V or 1.1-V core
voltage. You can compare the power and performance trade-offs of a 0.9-V core
voltage compilation result and a 1.1-V core voltage compilation result and then
choose the most desirable core voltage for your design. By default, the Quartus II
software sets the core voltage to 1.1 V.
Ensure that the board has a separate 0.9-V power supply to utilize the lower voltage
option and be sure to connect VCCL to the voltage level that you set in the Quartus II
software. The Stratix III device cannot distinguish which core voltage level is used on
the board. Connecting to the wrong voltage level gives you different timing delays
and power consumption than what is reported by the Quartus II software.
f For information about selectable core voltage performance and power effects on
sample designs, refer to AN 437: Power Optimization Techniques.
f For more information about how the Quartus II software uses programmable power
technology when compiling a design, refer to AN 437: Power Optimization Techniques.
With programmable power technology, faster speed grade FPGAs may require less
power, as there are fewer high-speed MLAB and LAB pairs, compared to slower
speed grade FPGAs. The slower speed grade device may need to use more high-speed
MLAB and LAB pairs to meet the performance requirements, while the faster speed
grade device can meet the performance requirements with MLAB and LAB pairs in
low-power mode.
The Quartus II software sets unshared inputs and unused device resources in the
design to low-power mode to reduce static and dynamic power. The Quartus II
software sets the following resources to low power when they are not used in the
design:
■ LABs and MLABs
■ TriMatrix memory blocks
■ External memory interface circuitry
■ DSP blocks
■ phase-locked loop (PLL)
■ serializer/deserializer (SERDES) and DPA blocks
If the PLL is instantiated in the design, asserting a reset high keeps the PLL in low
power.
f For possible values of each power supply, refer to the DC and Switching Characteristics
of Stratix III Devices chapter in volume 2 of the Stratix III Device Handbook.
f For detailed guidelines about how to connect and isolate VCCL and VCC power supply
pins, refer to the Stratix III Device Family Pin Connections Guidelines.
Figure 16–1 shows an example of power management for Stratix III devices.
Figure 16–1. Stratix III Power Management Example (Note 1), (2)
Voltage Regulator
(VCCPGM/) Voltage
Fixed (2.5 V) Reference
Voltage Regulator
(VCCA_PLL)
Fixed (2.5 V)
TEMPDIODEP
TSD
The TSD is a very sensitive circuit which can be influenced by the noise coupled from
traces on the board, and possibly within the device package itself, depending on
device usage. The interfacing device registers temperature based on milivolts of
difference as seen at the TSD. Switching I/O near the TSD pins can affect the
temperature reading. Altera recommends you take temperature readings during
periods of no activity in the device (for example, standby mode where no clocks are
toggling in the device), such as when the nearby I/Os are at a DC state and the clock
networks in the device are disabled.
Temperature-Sensing
Device
TEMPDIODEP
TEMPDIODEN
Stratix III
Conclusion
As process geometries get smaller, power and thermal management is becoming more
crucial in FPGA designs. Stratix III devices offer programmable power technology
and selectable core voltage options for low-power operation. Use these features, along
with speed grade choices, in different permutations to get the best power and
performance combination. Taking advantage of the silicon, the Quartus II software is
able to manipulate designs to use the best combination to achieve the lowest power at
the required performance.
For thermal management, use the Stratix III temperature sensing diode with an
external analog-to-digital converter in production devices. This allows you to easily
incorporate this feature in your designs. Being able to monitor the junction
temperature of the device at any time also allows you to control air flow to the device
and save power for the whole system.
This section provides packaging information for the Stratix® III device.
■ Chapter 17, Stratix III Device Packaging Information
Revision History
Refer to each chapter for its own specific revision history. For information on when
each chapter was updated, refer to the Chapter Revision Dates section, which appears
in the full handbook.
SIII51017-1.7
This chapter provides thermal resistance values and package information for Altera ®
Stratix ® III devices, including:
■ “Thermal Resistance” on page 17–2
■ “Package Outlines” on page 17–2
Table 17–1 lists which Stratix III device, are available in FineLine BGA or Hybrid
FineLine BGA packages.
Table 17–1. FineLine and Hybrid FineLine BGA Packages for Stratix III Devices
Device Package Pins
FineLine BGA - Flip Chip (Option 1) 484
EP3SL50
FineLine BGA - Flip Chip (Option 1) 780
FineLine BGA - Flip Chip (Option 1) 484
EP3SL70
FineLine BGA - Flip Chip (Option 1) 780
FineLine BGA - Flip Chip (Option 1) 780
EP3SL110
FineLine BGA - Flip Chip (Option 1) 1152
FineLine BGA - Flip Chip (Option 1) 780
EP3SL150
FineLine BGA - Flip Chip (Option 1) 1152
Hybrid FineLine BGA - Flip Chip (Option 1) 780
EP3SL200 FineLine BGA - Flip Chip (Option 1) 1152
FineLine BGA - Flip Chip (Option 1) 1517
Hybrid FineLine BGA - Flip Chip (Option 1) 1152
EP3SL340 FineLine BGA - Flip Chip (Option 1) 1517
FineLine BGA - Flip Chip (Option 1) 1760
FineLine BGA - Flip Chip (Option 1) 484
EP3SE50
FineLine BGA - Flip Chip (Option 1) 780
FineLine BGA - Flip Chip (Option 1) 780
EP3SE80
FineLine BGA - Flip Chip (Option 1) 1152
FineLine BGA - Flip Chip (Option 1) 780
EP3SE110
FineLine BGA - Flip Chip (Option 1) 1152
Hybrid FineLine BGA - Flip Chip (Option 1) 780
EP3SE260 FineLine BGA - Flip Chip (Option 1) 1152
FineLine BGA - Flip Chip (Option 1) 1517
Thermal Resistance
f For Stratix III devices thermal resistance specifications, refer to the Stratix Series Device
Thermal Resistance Data Sheet.
Package Outlines
f You can download Stratix III device package outlines from the Device Packaging
Specifications web page.
SIII5V2-2.3
Contents
The chapter in this book was revised on the following date. Where chapters or groups
of chapters are available separately, part numbers are listed.
This handbook provides comprehensive information about the Altera® Stratix® III
family of devices.
Contact
Contact (Note 1) Method Address
Technical support Website www.altera.com/support
Technical training Website www.altera.com/training
Email custrain@altera.com
Product literature Website www.altera.com/literature
Non-technical support (General) Email nacomp@altera.com
(Software Licensing) Email authorization@altera.com
Note:
(1) You can also contact your local Altera sales office or sales representative.
Typographic Conventions
The following table shows the typographic conventions that this document uses.
Anything that must be typed exactly as it appears is shown in Courier type. For
example: c:\qdesigns\tutorial\chiptrip.gdf. Also, sections of an actual
file, such as a Report File, references to parts of files (e.g., the AHDL keyword
SUBDESIGN), as well as logic function names (e.g., TRI) are shown in Courier.
1., 2., 3., and Numbered steps are used in a list of items when the sequence of the items is
a., b., c., etc. important, such as the steps listed in a procedure.
■ ■ Bullets are used in a list of items when the sequence of the items is not important.
v The checkmark indicates a procedure that consists of one step only.
1 The hand points to information that requires special attention.
A caution calls attention to a condition or possible situation that can damage or
c
destroy the product or the user’s work.
A warning calls attention to a condition or possible situation that can cause injury to
w
the user.
r The angled arrow indicates you should press the Enter key.
f The feet direct you to more information on a particular topic.
When Stratix® III devices are implemented in a system, they are rated according to a
set of defined parameters. To maintain the highest possible performance and
reliability of Stratix III devices, system designers must consider the operating
requirements discussed in the following chapter:
■ Chapter 1, Stratix III Device Datasheet: DC and Switching Characteristics
Revision History
Refer to each chapter for its own specific revision history. For information on when
each chapter was updated, refer to the Chapter Revision Dates section, which appears
in the full handbook.
Book Title Cross-Referenced from Title Page © July 2010 Altera Corporation
1. Stratix III Device Datasheet: DC and
Switching Characteristics
SIII52001-2.3
Electrical Characteristics
This chapter describes the electrical characteristics, switching characteristics, and I/O
timing for Stratix® III devices. Electrical characteristics include operating conditions
and power consumption. Switching characteristics include core performance
specifications and periphery performance. A glossary is also included for your
reference.
Operating Conditions
When Stratix III devices are implemented in a system, they are rated according to a set
of defined parameters. To maintain the highest possible performance and reliability of
Stratix III devices, system designers must consider the operating requirements
described in this chapter.
Stratix III devices are offered in both commercial and industrial grades. Commercial
devices are offered in –2 (fastest), –3, –4, and –4L speed grades. Industrial devices are
offered only in –3, –4, and –4L speed grades.
1 In this chapter, a prefix associated with the operating temperature range is attached to
the speed grades; commercial with a “C” prefix and industrial with an “I” prefix. For
example, commercial devices are indicated as C2, C3, C4, and C4L per respective
speed grades. Industrial devices are indicated as I3, I4, and I4L.
Table 1–1. Absolute Maximum Ratings for Stratix III Devices (Note 1) (Part 1 of 2)
Symbol Parameter Minimum Maximum Unit
VCCL Selectable core voltage power supply -0.5 1.65 V
VCC I/O registers power supply -0.5 1.65 V
VCCD_PLL Phase-locked loop (PLL) digital power supply -0.5 1.65 V
VCCA_PLL PLL analog power supply -0.5 3.75 V
VCCPT Programmable power technology power supply -0.5 3.75 V
VCCPGM Configuration pins power supply -0.5 3.9 V
VCCPD I/O pre-driver power supply -0.5 3.9 V
VCCIO I/O power supply -0.5 3.9 V
Table 1–1. Absolute Maximum Ratings for Stratix III Devices (Note 1) (Part 2 of 2)
Symbol Parameter Minimum Maximum Unit
Differential clock input power supply (top and bottom I/O
VCC_CLKIN -0.5 3.75 V
banks only)
Battery back-up power supply for design security volatile
VCCBAT -0.5 3.75 V
key register
VI DC Input voltage -0.5 4.0 V
TJ Operating junction temperature -55 125 °C
IOUT DC output current, per pin -25 40 mA
TSTG Storage temperature (No bias) -65 150 °C
Note to Table 1–1:
(1) Supply voltage specifications apply to voltage readings taken at the device pins, not the power supply.
4.1 V
3.15 V
3.0 V
ΔT
1 In the example shown in Figure 1–1, the overshoot voltage is shown in red and is
present at the Stratix III pin, up to 4.1 V. From Table 1–2, for an overshoot of up to 4.1
V, the percentage of high time for overshoot > 3.15 V can be as high as 46% over an
11.4-year period. The percentage of high time is calculated as (delta T/T) * 100. This
11.4-year period assumes the device is always turned on with 100% I/O toggle rate
and 50% duty cycle signal. For lower I/O toggle rates and situations where the device
is in an idle state, lifetimes are increased.
Table 1–3. Recommended Operating Conditions for Stratix III Devices (Part 1 of 2)
Symbol Parameter Conditions Minimum Typical Maximum Unit
Selectable core voltage power supply for
— 1.05 1.1 1.15 V
internal logic and input buffers
VCCL
Selectable core voltage power supply for
— 0.86 0.9 0.94 V
internal logic and input buffers
VCC I/O registers power supply — 1.05 1.1 1.15 V
VCCD_PLL PLL digital power supply — 1.05 1.1 1.15 V
VCCA_PLL PLL analog power supply — 2.375 2.5 2.625 V
Power supply for the programmable power
VCCPT — 2.375 2.5 2.625 V
technology
Table 1–3. Recommended Operating Conditions for Stratix III Devices (Part 2 of 2)
Symbol Parameter Conditions Minimum Typical Maximum Unit
Configuration pins power supply, 3.3 V — 3.135 3.3 3.465 V
Configuration pins power supply, 3.0 V — 2.85 3 3.15 V
VCCPGM
Configuration pins power supply, 2.5 V — 2.375 2.5 2.625 V
Configuration pins power supply, 1.8 V — 1.71 1.8 1.89 V
I/O pre-driver power supply, 3.3 V — 3.135 3.3 3.465 V
VCCPD (1) I/O pre-driver power supply, 3.0 V — 2.85 3 3.15 V
I/O pre-driver power supply, 2.5 V — 2.375 2.5 2.625 V
I/O power supply, 3.3 V — 3.135 3.3 3.465 V
I/O power supply, 3.0 V — 2.85 3 3.15 V
I/O power supply, 2.5 V — 2.375 2.5 2.625 V
VCCIO
I/O power supply, 1.8 V — 1.71 1.8 1.89 V
I/O power supply, 1.5 V — 1.425 1.5 1.575 V
I/O power supply, 1.2 V — 1.14 1.2 1.26 V
Differential clock input power supply (top and
VCC_CLKIN — 2.375 2.5 2.625 V
bottom I/O banks only)
Battery back-up power supply for design
VCCBAT (3) — 1.0 — 3.3 V
security volatile key register
VI DC Input voltage — -0.3 — 3.6 V
VO Output voltage — 0 — VCCIO V
For commercial
0 — 85 °C
use
TJ Operating junction temperature
For industrial
-40 — 100 °C
use (2)
Normal POR
50 µs — 5 ms —
(PORSEL=0)
Power Supply Ramptime (For VCCPT)
Fast POR
50 µs — 5 ms —
(PORSEL=1)
tRAMP
Normal POR
50 µs — 100 ms —
Power Supply Ramptime (For all power (PORSEL=0)
supplies except VCCPT) Fast POR
50 µs — 12 ms —
(PORSEL=1)
Notes to Table 1–3:
(1) VCCPD is 2.5, 3.0, or 3.3 V. For a 3.3-V I/O standard, VCCPD = 3.3 V. For a 3.0-V I/O standard, VCCPD = 3.0 V. For a 2.5-V or lower I/O standard,
VCCPD = 2.5 V.
(2) For the EP3SL340, EP3SE260, and EP3SL200 devices in the I4L ordering code, the industrial junction temperature range is from 0° C to
100° C, regardless of supply voltage.
(3) Altera recommends a 3.0-V nominal battery voltage when connecting VCCBAT to a battery for volatile key backup. If you do not use the volatile
security key, you may connect the VCCBAT to either GND or a 3.0-V power supply.
DC Characteristics
This section lists the input pin capacitances, on-chip termination tolerance, and
hot- socketing specifications.
Supply Current
Standby current is the current the device draws after the device is configured with no
inputs/outputs toggling and no activity in the device. Because these currents vary
largely with the resources used, use the Excel-based Early Power Estimator (EPE) to
get supply current estimates for your design.
Table 1–4 lists supply current specifications for VCC_CLKIN and VCCPGM. Use the EPE to get
supply current estimates for the remaining power supplies.
Table 1–5. I/O Pin Leakage Current for Stratix III Devices (Note 1), (2)
Symbol Parameter Conditions Min Typ Max Unit
II Input Pin Leakage Current VI = VCCIOMAX to 0 V -10 — 10 A
Tri-stated I/O Pin Leakage
IOZ VO = VCCIOMAX to 0 V -10 — 10 A
Current
Notes to Table 1–5:
(1) This value is specified for normal device operation. The value may vary during power-up. This applies for all VCCIO
settings (3.3, 3.0, 2.5, 1.8, 1.5, and 1.2 V).
(2) The 10-A I/O leakage current limit is applicable when the internal clamping diode is off. A higher current can be
observed when the diode is on.
Table 1–6. Bus Hold Parameters for Stratix III Devices (Part 1 of 2)
VCCIO
Parameter Symbol Conditions 1.2 V 1.5 V 1.8 V 2.5 V 3.0 V/3.3 V Unit
Min Max Min Max Min Max Min Max Min Max
Low sustaining VIN > VIL
ISUSL 22.5 — 25.0 — 30.0 — 50.0 — 70.0 — µA
current (maximum)
High sustaining VIN < VIH
ISUSH -22.5 — -25.0 — -30.0 — -50.0 — -70.0 — µA
current (minimum)
Low overdrive
IODL 0V < VIN < VCCIO — 120 — 160 — 200 — 300 — 500 µA
current
Table 1–6. Bus Hold Parameters for Stratix III Devices (Part 2 of 2)
VCCIO
Parameter Symbol Conditions 1.2 V 1.5 V 1.8 V 2.5 V 3.0 V/3.3 V Unit
Min Max Min Max Min Max Min Max Min Max
High overdrive
IODH 0V <VIN <VCCIO — -120 — -160 — -200 — -300 — -500 µA
current
Bus-hold trip
VTRIP — 0.45 0.95 0.50 1.00 0.68 1.07 0.70 1.70 0.80 2.00 V
point
Table 1–7. On-Chip Termination Calibration Accuracy Specifications for Stratix III Devices (Note 1)
Calibration
Accuracy
Symbol Description Conditions Unit
C3, C4,
C2
I3 I4
25- R S (2) Internal series termination with VCCIO =
±8 ±8 ±8 %
3.3, 3.0, 2.5, 1.8, 1.5, 1.2 calibration (25- setting) 3.3, 3.0, 2.5, 1.8, 1.5, 1.2 V
50- R S Internal series termination with VCCIO =
±8 ±8 ±8 %
3.3, 3.0, 2.5, 1.8, 1.5, 1.2 calibration (50- setting) 3.3, 3.0, 2.5, 1.8, 1.5, 1.2 V
Internal parallel termination with
50- RT 2.5, 1.8, 1.5, 1.2 VCCIO = 2.5, 1.8, 1.5, 1.2 V ±10 ±10 ±10 %
calibration (50- setting)
Expanded range for internal
VCCIO =
20-RS to 60-RS series termination with
3.3, 3.0, 2.5, 1.8, 1.5, 1.2 V ±10 ±10 ±10 %
3.3, 3.0, 2.5, 1.8, 1.5, 1.2 calibration
(3)
(Between 20- to 60-setting)
Internal left shift series
VCCIO =
25- R S _left_shift termination with calibration ±10 ±10 ±10 %
3.3, 3.0, 2.5, 1.8, 1.5, 1.2 V
(25- RS _left_shift setting)
Internal series termination with
ROCT_CAL (4)
calibration
Notes to Table 1–7:
(1) OCT calibration accuracy is valid at the time of calibration only.
(2) 25- RS not supported for 1.5 V and 1.2 V in Row I/O.
(3) 1.5 V and 1.2 V only supports 40- to 60- expanded range.
(4) For resistance tolerance after power-up calibration, refer to Equation 1–1 and Table 1–9 on page 1–8.
The accuracy listed in Table 1–7 is valid at the time of calibration. If the voltage or
temperature changes, the termination resistance value varies. Table 1–8 lists the
resistance tolerance for Stratix III OCT.
Table 1–8. On-Chip Termination Resistance Tolerance Specification for Stratix III Devices
Resistance Tolerance
Symbol Description Conditions Unit
C2 C3, I3 C4, I4
Internal series termination without
ROCT_UNCAL —
calibration
Internal series termination without
25- R S 3.3, 3.0, 2.5 VCCIO = 3.3, 3.0, 2.5 V ±30 ±40 ±40 %
calibration (25- setting)
Internal series termination without
25- RS 1.8, 1.5 VCCIO = 1.8, 1.5 V ±30 ±50 ±50 %
calibration (25- setting)
Internal series termination without
25- RS 1.2 VCCIO = 1.2 V ±35 ±60 ±60 %
calibration (25- setting)
Internal series termination without
50- RS 3.3, 3.0, 2.5 VCCIO = 3.3, 3.0, 2.5 V ±30 ±40 ±40 %
calibration (50- setting)
Internal series termination without
50- RS 1.8, 1.5 VCCIO = 1.8, 1.5 V ±30 ±50 ±50 %
calibration (50- setting)
Internal series termination without
50- RS 1.2 VCCIO = 1.2 V ±35 ±60 ±60 %
calibration (50- setting)
Internal differential termination for
RD VCCIO = 2.5 V -15 to 35 %
LVDS technology (100-setting)
Table 1–9 lists OCT variation with temperature and voltage after power-up
calibration. Use Table 1–9 and Equation 1–1 to determine OCT variation without
re-calibration.
Pin Capacitance
Table 1–10 lists the Stratix III device family pin capacitance.
s
Hot-Socketing
Table 1–11 lists the hot-socketing specifications for Stratix III devices.
Table 1–12. Internal Weak Pull-Up Resistor for Stratix III Devices (Note 1), (3)
Symbol Parameter Conditions Min Typ Max Unit
3.3-V LVTTL 3.135 3.3 3.465 -0.3 0.8 1.7 3.6 0.4 2.4 2 -2
3.0-V LVTTL 2.85 3 3.15 -0.3 0.8 1.7 3.6 0.4 2.4 2 -2
3.3-V LVCMOS 3.135 3.3 3.465 -0.3 0.8 1.7 3.6 0.2 VCCIO - 0.2 0.1 -0.1
3.0-V LVCMOS 2.85 3 3.15 -0.3 0.8 1.7 3.6 0.2 VCCIO - 0.2 0.1 -0.1
2.5 2.625 -0.3 0.7 1.7 3.6 0.2 2.1 0.1 -0.1
2.5-V LVTTL/
2.375 2.5 2.625 -0.3 0.7 1.7 3.6 0.4 2 1 -1
LVCMOS
2.5 2.625 -0.3 0.7 1.7 3.6 0.7 1.7 2 -2
1.8-V LVTTL /
1.71 1.8 1.89 -0.3 0.35 * VCCIO 0.65 * VCCIO VCCIO + 0.3 0.45 VCCIO - 0.45 2 -2
LVCMOS
1.5-V LVTTL/
1.425 1.5 1.575 -0.3 0.35 * VCCIO 0.65 * VCCIO VCCIO + 0.3 0.25 * VCCIO 0.75 * VCCIO 2 -2
LVCMOS
1.2-V LVTTL /
1.14 1.2 1.26 -0.3 0.35 * VCCIO 0.65 * VCCIO VCCIO + 0.3 0.25 * VCCIO 0.75 * VCCIO 2 -2
LVCMOS
3.0-V PCI 2.85 3 3.15 — 0.3 * VCCIO 0.5 * VCCIO 3.6 0.1 * VCCIO 0.9 * VCCIO 1.5 -0.5
3.0-V PCI-X 2.85 3 3.15 — 0.35 * VCCIO 0.5 * VCCIO — 0.1 * VCCIO 0.9 * VCCIO 1.5 -0.5
Table 1–14. Single-Ended SSTL and HSTL I/O Reference Voltage Specifications
Table 1–15. Single-Ended SSTL and HSTL I/O Standards Signal Specifications (Note 1) (Part 1 of 2)
I/O VIL(DC) (V) VIH(DC) (V) VIL(AC) (V) VIH(AC) (V) VOL (V) VOH (V) IOL IOH
Standard Min Max Min Max Max Min Max Min (mA) (mA)
SSTL-2
-0.3 VREF - 0.15 VREF +0.15 VCCIO + 0.3 VREF - 0.31 VREF + 0.31 VTT - 0.57 VTT + 0.57 8.1 -8.1
CLASS I
SSTL-2
-0.3 VREF - 0.15 VREF +0.15 VCCIO + 0.3 VREF - 0.31 VREF + 0.31 VTT - 0.76 VTT + 0.76 16.2 -16.2
CLASS II
SSTL-18 VTT - VTT +
-0.3 VREF -0.125 VREF +0.125 VCCIO + 0.3 VREF -0.25 VREF + 0.25 6.7 -6.7
CLASS I 0.475 0.475
SSTL-18
-0.3 VREF -0.125 VREF +0.125 VCCIO + 0.3 VREF -0.25 VREF + 0.25 0.28 VCCIO - 0.28 13.4 -13.4
CLASS II
SSTL-15
-0.3 VREF -0.1 VREF +0.1 VCCIO + 0.3 VREF -0.175 VREF + 0.175 0.2 * VCCIO 0.8 * VCCIO 8 -8
CLASS I
SSTL-15
-0.3 VREF -0.1 VREF +0.1 VCCIO + 0.3 VREF -0.175 VREF + 0.175 0.2 * VCCIO 0.8 * VCCIO 16 -16
CLASS II
HSTL-18
-0.3 VREF -0.1 VREF +0.1 VCCIO + 0.3 VREF -0.2 VREF + 0.2 0.4 VCCIO - 0.4 8 -8
CLASS I
HSTL-18
-0.3 VREF -0.1 VREF +0.1 VCCIO + 0.3 VREF -0.2 VREF + 0.2 0.4 VCCIO - 0.4 16 -16
CLASS II
HSTL-15
-0.3 VREF -0.1 VREF +0.1 VCCIO + 0.3 VREF -0.2 VREF + 0.2 0.4 VCCIO - 0.4 8 -8
CLASS I
HSTL-15
-0.3 VREF -0.1 VREF +0.1 VCCIO + 0.3 VREF -0.2 VREF + 0.2 0.4 VCCIO - 0.4 16 -16
CLASS II
HSTL-12
-0.15 VREF -0.08 VREF +0.08 VCCIO + 0.15 VREF -0.15 VREF + 0.15 0.25* VCCIO 0.75 * VCCIO 8 -8
CLASS I
Table 1–15. Single-Ended SSTL and HSTL I/O Standards Signal Specifications (Note 1) (Part 2 of 2)
I/O VIL(DC) (V) VIH(DC) (V) VIL(AC) (V) VIH(AC) (V) VOL (V) VOH (V) IOL IOH
Standard Min Max Min Max Max Min Max Min (mA) (mA)
HSTL-12
-0.15 VREF -0.08 VREF +0.08 VCCIO + 0.15 VREF -0.15 VREF + 0.15 0.25* VCCIO 0.75 * VCCIO 16 -16
CLASS II
Note to Table 1–15:
(1) Use the current strength settings that are equal or larger than the IOL and IOH values listed to meet the VOL and VOH specifications for each line.
OCT or lower current strengths may provide better signal integrity and lower power.
Refer to the figures for “Differential I/O Standards” in “Glossary” on page 1–326 for
the receiver input and transmitter output waveforms, and for all the differential I/O
standards (LVDS, mini-LVDS, RSDS). V CC_CLKIN is the power supply for the differential
column clock input pins. V CCPD is the power supply for the row I/Os and all other
column I/Os.
I/O VCCIO (V) VSWING (DC) (V) VX (AC) (V) VSWING(AC) (V) VOX (AC) (V)
Standard Min Typ Max Min Max Min Typ Max Min Max Min Typ Max
SSTL-2 VCCIO VCCIO/2 VCCIO/2 VCCIO VCCIO/2 VCCIO/2 +
2.375 2.5 2.625 0.3 — 0.62 —
CLASS I, II + 0.6 - 0.2 + 0.2 + 0.6 - 0.15 0.15
SSTL-15
1.425 1.5 1.575 0.2 — — VCCIO/2 — 0.35 — — VCCIO/2 —
CLASS I, II
I/O VCCIO (V) VID (V) (1) VICM(DC) (V) VOD (V) (2) VOCM (V) (2)
Standard Min Typ Max Min Condition Max Min Condition Max Min Typ Max Min Typ Max
0.05 D max 700 1.8
2.375 2.5 2.625 0.1 VCM = 1.25 — 0.247 — 0.6 1.125 1.25 1.375
2.5 V LVDS (6) Mbps (6)
(Row I/O) 1.05 Dmax > 700 1.55
2.375 2.5 2.625 0.1 VCM = 1.25 — 0.247 — 0.6 1.125 1.25 1.375
(6) Mbps (6)
I/O VCCIO (V) VID (V) (1) VICM(DC) (V) VOD (V) (2) VOCM (V) (2)
Standard Min Typ Max Min Condition Max Min Condition Max Min Typ Max Min Typ Max
0.05 D max 700 1.8
2.375 2.5 2.625 0.1 VCM = 1.25 — 0.247 — 0.6 1.0 1.25 1.5
2.5 V LVDS (6) Mbps (6)
(Column I/O) 1.05 Dmax > 700 1.55
2.375 2.5 2.625 0.1 VCM = 1.25 — 0.247 — 0.6 1.0 1.25 1.5
(6) Mbps (6)
RSDS
2.375 2.5 2.625 0.1 VCM = 1.25 — 0.3 — 1.4 0.1 0.2 0.6 0.5 1.2 1.4
(Row I/O)
RSDS
2.375 2.5 2.625 0.1 VCM = 1.25 — 0.3 — 1.4 0.1 0.2 0.6 0.5 1.2 1.5
(Column I/O)
Mini-LVDS
2.375 2.5 2.625 0.2 — 0.6 0.4 — 1.325 0.25 — 0.6 0.5 1.2 1.4
(Row I/O)
Mini-LVDS
2.375 2.5 2.625 0.2 — 0.6 0.4 — 1.325 0.25 — 0.6 0.5 1.2 1.5
(Column I/0)
2.375 2.5 2.625 D max 700 1.8
0.3 — — 0.6 — — — — — —
LVPECL (5) (5) (5) Mbps (4)
(3) 2.375 2.5 2.625 Dmax > 700 1.6
0.3 — — 1.0 — — — — — —
(5) (5) (5) Mbps (4)
Notes to Table 1–18:
(1) The minimum VID value is applicable over the entire common mode range, VCM.
(2) RL range: 90 RL 110 .
(3) Column and row I/O banks support LVPECL I/O standards for input operation only on dedicated clock input pins. Differential clock inputs in column I/O use
VCC_CLKIN that must be powered by 2.5 V. Differential clock inputs in row I/O banks are powered by VCCPD.
(4) The receiver voltage input range for the data rate when Dmax > 700 Mbps is 0.85 V VIN 1.75 V.
The receiver voltage input range for the data rate when Dmax 700 Mbps is 0.45 V VIN 1.95 V.
(5) Power supply for the column I/O LVPECL differential clock input buffer is VCC_CLKIN.
(6) The receiver voltage input range for the data rate when Dmax > 700 Mbps is 1.0 V VIN 1.6 V.
The receiver voltage input range for the data rate when Dmax 700 Mbps is zero V VIN 1.85 V.
Power Consumption
Altera offers two ways to estimate power for a design: the Excel-based Early Power
Estimator (EPE) and the Quartus II PowerPlay Power Analyzer feature.
The interactive Excel-based Early Power Estimator is typically used prior to designing
the FPGA in order to get a magnitude estimate of the device power. The Quartus II
PowerPlay Power Analyzer provides estimation based on the specifics of the design
after place-and-route is complete. The PowerPlay Power Analyzer can apply a
combination of user-entered, simulation-derived, and estimated signal activities
which, when combined with detailed circuit models, can yield very accurate power
estimation.
Refer to Table 1–4 on page 1–5 for supply current estimates for V CCPGM and VCC_CLKIN.
Use the EPE and PowerPlay Power Analyzer for current estimates of remaining
power supplies.
f For more information about power estimation tools, refer to the PowerPlay Early Power
Estimator User Guide For Stratix III FPGAs and the PowerPlay Power Analysis chapter in
the Quartus II Handbook.
Switching Characteristics
This section provides performance characteristics of Stratix III core and periphery
blocks for commercial grade devices.
These characteristics can be designated as Preliminary and Final and each
designation is defined below.
Preliminary—Preliminary characteristics are created using simulation results, process
data, and other known parameters.
Final—Final numbers are based on actual silicon characterization and testing. These
numbers reflect the actual performance of the device under worst-case silicon process,
voltage, and junction temperature conditions. The upper-right hand corner of a table
shows the designation as Preliminary or Final.
PLL Specifications
Table 1–20 lists the Stratix III PLL specifications when operating in both the
commercial junction temperature range (0 to 85° C) and the industrial junction
temperature range (-40 to 100° C), except for EP3SL340, EP3SE260, and EP3SL200
devices in the I4L ordering code, where the industrial junction temperature range is
from 0° C to 100° C, regardless of supply voltage. Refer to the figure in “PLL
Specifications” in “Glossary” on page 1–326 for the PLL block diagram.
1–14
Table 1–20. PLL Specifications for Stratix III Devices (Part 1 of 3)
C2 C3, I3 C4, I4 C4L, I4L
Symbol Parameter VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 0.9 V Unit
Min Typ Max Min Typ Max Min Typ Max Min Typ Max Min Typ Max
800 717 717 717 717
fIN Input clock frequency 5 — 5 — 5 — 5 — 5 — MHz
(1) (1) (1) (1) (1)
fINPFD Input frequency to the PFD 5 — 325 5 — 325 5 — 325 5 — 325 5 — 325 MHz
fVCO PLL VCO operating range 600 — 1600 600 — 1300 600 — 1300 600 — 1300 600 — 1300 MHz
Input clock or external feedback
tEINDUTY 40 — 60 40 — 60 40 — 60 40 — 60 40 — 60 %
clock input duty cycle
Output frequency for internal global 600 500 450 450 375
fOUT — — — — — — — — — — MHz
or regional clock (2) (2) (2) (2) (2)
Output frequency for dedicated 800 717 717 717 717
fOUT_EXT — — — — — — — — — — MHz
external clock output (2) (2) (2) (2) (2)
Duty cycle for external clock output
tOUTDUTY 45 50 55 45 50 55 45 50 55 45 50 55 45 50 55 %
Switching Characteristics
any non-post-scale
counters/delays)
Altera Corporation
Table 1–20. PLL Specifications for Stratix III Devices (Part 2 of 3)
© July 2010
Switching Characteristics
Chapter 1: Stratix III Device Datasheet: DC and Switching Characteristics
C2 C3, I3 C4, I4 C4L, I4L
Symbol Parameter VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 0.9 V Unit
Altera Corporation
Min Typ Max Min Typ Max Min Typ Max Min Typ Max Min Typ Max
PLL closed-loop low bandwidth — 0.3 — — 0.3 — — 0.3 — — 0.3 — — 0.3 — MHz
PLL closed-loop medium
— 1.5 — — 1.5 — — 1.5 — — 1.5 — — 1.5 — MHz
fCLBW bandwidth
PLL closed-loop high bandwidth
— 4 — — 4 — — 4 — — 4 — — 4 — MHz
(6)
tPLL_PSERR Accuracy of PLL phase shift — — ±50 — — ±50 — — ±50 — — ±50 — — ±50 ps
Minimum pulse width on areset
tARESET 10 — — 10 — — 10 — — 10 — — 10 — — ns
signal
Input clock cycle to cycle jitter
— — 0.15 — — 0.15 — — 0.15 — — 0.15 — — 0.1 UI (p-p)
(FREF 100 MHz)
tINCCJ (3), (4)
Input clock cycle to cycle jitter
— — ±750 — — ±750 — — ±750 — — ±750 — — ±500 ps (p-p)
(FREF < 100 MHz)
Period Jitter for dedicated clock
— — 175 — — 175 — — 175 — — 175 — — 225 ps (p-p)
output (FOUT 100 MHz)
tOUTPJ_DC (5)
Period Jitter for dedicated clock mUI
— — 17.5 — — 17.5 — — 17.5 — — 17.5 — — 22.5
output (FOUT < 100 MHz) (p-p)
Cycle to Cycle Jitter for dedicated
clock output — — 175 — — 175 — — 175 — — 175 — — 225 ps (p-p)
(FOUT 100 MHz)
tOUTCCJ_DC (5)
Cycle to Cycle Jitter for dedicated
mUI
clock output — — 17.5 — — 17.5 — — 17.5 — — 17.5 — — 22.5
Stratix III Device Handbook, Volume 2
(p-p)
(FOUT < 100 MHz)
Period Jitter for clock output on
— — 600 — — 600 — — 600 — — 600 — — 750 ps (p-p)
regular IO (FOUT 100 MHz)
tOUTPJ_IO (5), (8)
Period Jitter for clock output on mUI
— — 60 — — 60 — — 60 — — 60 — — 75
regular IO (FOUT < 100 MHz) (p-p)
1–15
Table 1–20. PLL Specifications for Stratix III Devices (Part 3 of 3)
Stratix III Device Handbook, Volume 2
1–16
C2 C3, I3 C4, I4 C4L, I4L
Symbol Parameter VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 0.9 V Unit
Min Typ Max Min Typ Max Min Typ Max Min Typ Max Min Typ Max
Cycle to Cycle Jitter for clock
output on regular IO — — 600 — — 600 — — 600 — — 600 — — 750 ps (p-p)
(FOUT 100 MHz)
tOUTCCJ_IO (5), (8)
Cycle to Cycle Jitter for clock
mUI
output on regular IO — — 60 — — 60 — — 60 — — 60 — — 75
(p-p)
(FOUT <100 MHz)
tCASC_OUTPJ_DC (5), Period Jitter for dedicated clock
(7) output in cascaded PLLs (FOUT — — 250 — — 250 — — 250 — — 250 — — 325 ps (p-p)
100 MHz)
Period Jitter for dedicated clock
mUI
output in cascaded PLLs (FOUT — — 25 — — 25 — — 25 — — 25 — — 32.5
(p-p)
100 MHz)
Switching Characteristics
Altera Corporation
Chapter 1: Stratix III Device Datasheet: DC and Switching Characteristics 1–17
Switching Characteristics
Table 1–21. DSP Block Performance Specifications for Stratix III Devices (Note 1)
C2 (5) C3 C4 C4L I3 I4 I4L
Number of
Mode VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= Unit
Multipliers
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 0.9 V
99-bit multiplier (a, c, e, g) (2) 1 440 365 315 315 240 345 315 225 MHz
99-bit multiplier (b, d, f, h) (2) 1 500 410 375 375 270 385 375 250 MHz
1212-bit multiplier (a, e) (3) 1 440 365 315 315 240 345 315 225 MHz
1212-bit multiplier (b, d, f, h) (3) 1 500 410 375 375 270 385 375 250 MHz
1818-bit multiplier 1 600 495 440 440 320 470 440 300 MHz
3636-bit multiplier 1 440 365 315 315 220 345 315 205 MHz
Double mode 1 440 365 315 315 220 345 315 205 MHz
1818-bit multiply adder 2 490 405 345 345 250 380 345 235 MHz
1818-bit multiply adder 4 490 405 345 345 250 380 345 235 MHz
1818-bit multiply adder with loop
2 490 405 345 345 250 380 345 235 MHz
back
1818-bit multiply adder with loop
2 390 320 300 240 180 300 300 135 MHz
back (4)
1818-bit multiply accumulator 4 475 390 330 330 240 370 330 225 MHz
1818-bit multiply adder with
4 475 390 330 330 240 370 330 225 MHz
chainout
Input Cascade Independent output
4 550 455 415 415 270 430 415 250 MHz
of four 1818 bit multiplier
36-bit shift (32 bit data) 1 475 390 330 330 250 370 330 235 MHz
Notes to Table 1–21:
(1) Maximum is for a fully pipelined block with Round and Saturation disabled.
(2) The DSP block implements eight independent 9b9b multiplies using a, b, c, d for the top DSP half block and e, f, g, h for the bottom DSP half block
multipliers.
(3) The DSP block implements six independent 12b12b multiplies using a, b, d for the top DSP half block and e, f, h for the bottom DSP half block multipliers.
(4) Maximum for loopback input registers disabled, Round and Saturation disabled, pipeline and output registers enabled.
(5) The Fmax for the EP3SL200, EP3SE260, and EP3SL340 devices at the C2 speed grade is 7% slower than the C2 values shown in the table.
Table 1–22. TriMatrix Memory Block Performance Specifications for Stratix III Devices (Note 1) (Part 1 of 3)
Table 1–22. TriMatrix Memory Block Performance Specifications for Stratix III Devices (Note 1) (Part 2 of 3)
Table 1–22. TriMatrix Memory Block Performance Specifications for Stratix III Devices (Note 1) (Part 3 of 3)
Table 1–23. Configuration Mode Specifications for Stratix III Devices (Note 1)
Programming Mode DCLK Fmax Unit
Passive Serial 100 MHz
Fast Passive Parallel (2) 100 MHz
Fast Active Serial (3) 40 MHz
Notes to Table 1–23:
(1) DCLK Fmax is restricted when you enable the Remote Update feature. For more information, refer to the Remote
Update Circuitry (ALTREMOTE_UPDATE) Megafunction User Guide.
(2) The data rate must be 4× slower than the clock when you use decompression and/or encryption.
(3) For more information about the minimum and typical DCLK Fmax value in Fast Active Serial configuration, refer to
the Configuring Stratix III Devices chapter.
Table 1–24 lists the JTAG timing parameters and values for Stratix III devices. Refer to
the figure for “HIGH-SPEED I/O Block” in the “Glossary” on page 1–326 for the
JTAG timing requirements.
Table 1–24. JTAG Timing Parameters and Values for Stratix III Devices
Symbol Parameter Min Max Unit
tJCP TCK clock period 30 — ns
tJCH TCK clock high time 14 — ns
tJCL TCK clock low time 14 — ns
tJPSU (TDI) JTAG port setup time for TDI 1 — ns
tJPSU (TMS) JTAG port setup time for TMS 3 — ns
tJPH JTAG port hold time 5 — ns
tJPCO JTAG port clock to output — 11 ns
tJPZX JTAG port high impedance to valid output — 14 ns
tJPXZ JTAG port valid output to high impedance — 14 ns
Periphery Performance
This section describes periphery performance, including high-speed I/O and external
memory interface.
I/O performance supports several system interfacing, such as the LVDS high-speed
I/O interface, external memory interface, and the PCI/PCI-X bus interface. For
example, Stratix III devices I/O configured with voltage referenced I/O standards can
achieve up to the stated system interfacing speed as indicated in “External Memory
Interface Specifications” on page 1–25. General-purpose I/O standards such as 3.3,
3.0, 2.5, 1.8, or 1.5 LVTTL/LVCMOS are capable of typical 167 MHz and 1.2 LVCMOS
at 100MHz interfacing frequency with 10pF load.
Table 1–25. True and Emulated LVDS Specifications for Stratix III Devices (Note 1), (2) (Part 1 of 3)
C2 C3, I3 C4, I4 C4L, I4L
Unit
Symbol Conditions
Max
Max
Max
Max
Min
Min
Min
Min
Typ
Typ
Typ
Typ
fHSCLK_in
(input clock Clock boost
frequency)—True factor W = 1 to 40 5 — 800 5 — 717 5 — 717 5 — 717 MHz
Differential I/O (3)
Standards
fHSCLK_in
(input clock Clock boost
frequency)—Single factor W = 1 to 40 5 — 800 5 — 717 5 — 717 5 — 717 MHz
Ended I/O (3)
Standards (9)
fHSCLK_out
(output clock — 5 — 800 (7) 5 — 717 (7) 5 — 717 (7) 5 — 717 (7) MHz
frequency)
Transmitter
SERDES factor
(4) — 1600 (4) — 1250 (4) — 1250 (4) — 1250 Mbps
J = 3 to 10 (8)
SERDES factor
J = 2, Uses (4) — (4) (4) — (4) (4) — (4) (4) — (4) Mbps
fHSDR (data rate)
DDR Register
SERDES factor
J = 1, Uses SDR (4) — (4) (4) — (4) (4) — (4) (4) — (4) Mbps
Register
LVDS_E_3R -fHSDR SERDES factor
(4) — 1100 (4) — 1100 (4) — 800 (4) — 800 Mbps
(data rate) J = 4 to 10
Table 1–25. True and Emulated LVDS Specifications for Stratix III Devices (Note 1), (2) (Part 2 of 3)
C2 C3, I3 C4, I4 C4L, I4L
Unit
Symbol Conditions
Max
Max
Max
Max
Min
Min
Min
Min
Typ
Typ
Typ
Typ
LVDS_E_1R -fHSDR SERDES factor
(4) — 311 (4) — 200 (4) — 200 (4) — 200 Mbps
(data rate) J = 4 to 10
Total Jitter for
Data Rate,
— — 160 — — 160 — — 160 — — 160 ps
600 Mbps –
tx Jitter (5) 1.6 Gbps
Total Jitter for
Data Rate, — — 0.1 — — 0.1 — — 0.1 — — 0.1 UI
< 600 Mbps
TX output duty
cycle for both
tDUTY True and 45 50 55 45 50 55 45 50 55 45 50 55 %
Emulated
Differential I/O
True Differential
tRISE & tFALL — — 160 — — 200 — — 200 — — 200 ps
I/O Standards
Emulated
Differential I/O
Standards with
tRISE & tFALL — — 310 — — 310 — — 350 — — 350 ps
Three External
Output Resistor
Network
Emulated
Differential I/O
Standards with
tRISE & tFALL — — 460 — — 500 — — 500 — — 500 ps
One External
Output Resistor
Network
True Differential
TCCS — — 100 — — 100 — — 100 — — 100 ps
I/O Standards
Emulated
TCCS Differential I/O — — 250 — — 250 — — 250 — — 250 ps
Standards
Receiver
Table 1–25. True and Emulated LVDS Specifications for Stratix III Devices (Note 1), (2) (Part 3 of 3)
C2 C3, I3 C4, I4 C4L, I4L
Unit
Symbol Conditions
Max
Max
Max
Max
Min
Min
Min
Min
Typ
Typ
Typ
Typ
Non DPA Mode
Sampling Window — — — 300 — — 300 — — 300 — — 300 ps
Notes to Table 1–25:
(1) When J = 3 to 10, the SERDES block is used.
(2) When J = 1 or 2, the SERDES block is bypassed.
(3) Clock Boost Factor (W) is the ratio between the input data rate to the input clock rate.
(4) The minimum and maximum specification depends on the clock source (for example, the PLL and clock pin) and the clock routing resource (global, regional, or
local) used. The I/O differential buffer and input register do not have a minimum toggle rate.
(5) The txJitter specification is for the true LVDS I/O standard only.
(6) You can estimate the achievable maximum data rate for non-DPA mode by performing link timing closure analysis. Consider the board skew margin, transmitter
delay margin, as well as the receiver sampling margin to determine the maximum data rate supported.
(7) This is achieved by using the LVDS and DPA clock network.
(8) If the receiver (with DPA enabled) and the transmitter are using shared PLLs, the minimum data rate is 150 Mbps.
(9) This is only applied to DPA and Soft-CDR modes.
Table 1–26 lists the DPA lock time specifications for Stratix III devices.
Table 1–26. DPA Lock Time Specifications for Stratix III Devices (Note 1), (2), (3) (Part 1 of 2)
Number of
Number of
Data
repetitions
Transitions
Training per 256
Standard in one Condition (5) Min Typ Max
Pattern Data
Repetition
Transition
of Training
(4)
Pattern
without DPA
256 data transitions — —
PLL calibration
0000000000
SPI-4 2 128 3×256 data transitions +
1111111111 with DPA PLL
2×96 slow clock cycles — —
calibration
(6)
without DPA
256 data transitions — —
PLL calibration
00001111 2 128 3×256 data transitions +
with DPA PLL
2×96 slow clock cycles — —
calibration
Parallel Rapid (6)
I/O without DPA
256 data transitions — —
PLL calibration
10010000 4 64 3×256 data transitions +
with DPA PLL
2×96 slow clock cycles — —
calibration
(6)
Table 1–26. DPA Lock Time Specifications for Stratix III Devices (Note 1), (2), (3) (Part 2 of 2)
Number of
Number of
Data
repetitions
Transitions
Training per 256
Standard in one Condition (5) Min Typ Max
Pattern Data
Repetition
Transition
of Training
(4)
Pattern
without DPA
256 data transitions — —
PLL calibration
10101010 8 32 3×256 data transitions +
with DPA PLL
2×96 slow clock cycles — —
calibration
(6)
Miscellaneous
without DPA
256 data transitions — —
PLL calibration
01010101 8 32 3×256 data transitions +
with DPA PLL
2×96 slow clock cycles — —
calibration
(6)
Notes to Table 1–26:
(1) The DPA lock time is for one channel.
(2) One data transition is defined as a 0-to-1 or 1-to-0 transition.
(3) The DPA lock time stated in this table applies to both commercial and industrial grade.
(4) These are the number of repetitions for the stated training pattern to achieve 256 data transitions.
(5) Altera recommends PLL re-calibration for the situations below to guarantee DPA locking:
■ Sparse data transitions. For example: Repeating sequences of ten 1s and ten 0s.
■ 0 PPM frequency difference and/or 0° phase difference between the clock and data.
(6) Slow clock = data rate (Hz)/ Deserialization factor.
Figure 1–2 shows the DPA time specification with DPA PLL calibration enabled.
Figure 1–2. DPA Lock Time Specification with DPA PLL Calibration Enabled
rx_reset
DPA Lock Time
rx_dpa_locked
Figure 1–3 shows the LVDS Soft-CDR/ DPA sinusoidal jitter tolerance specifications
for Stratix III devices.
Figure 1–3. LVDS Soft-CDR/DPA Sinusiodal Jitter Tolerance Specification for Stratix III Devices
Table 1–27 lists the LVDS Soft-CDR/ DPA sinusiodal jitter mask values for Stratix III
devices.
Table 1–27. LVDS Soft-CDR/DPA Sinusoidal Jitter Mask Values for Stratix III Devices
Jitter Frequency (Hz) Jitter Amplitude Unit
F1 10,000 25.000 UI
F2 17,565 25.000 UI
F3 1,493,000 0.350 UI
F4 50,000,000 0.350 UI
f For more information about the maximum clock rate support for external memory
interfaces with a half-rate or full-rate controller, refer to Section III: System Performance
Specifications of the External Memory Interfaces Handbook.
I/O VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 0.9 V
Memory Type Width
Standard SW (ps) SW (ps) SW (ps) SW (ps) SW (ps)
Setup Hold Setup Hold Setup Hold Setup Hold Setup Hold
DDR3 SDRAM (with 8 or 1.5-V ×4, ×8 172 296 234 296 257 311 257 311 257 311
10 tap phase offset,
SSTL
300 MHz–400 MHz)
DDR3 SDRAM (with 1.5-V ×4, ×8 300 213 — — — — — — — —
Deskew circuitry,
SSTL
401 MHz–533 MHz)
DDR3 SDRAM 1.5-V ×4, ×8 172 296 234 296 257 311 257 311 257 311
(Non-leveling interface) SSTL
DDR2 SDRAM Differential 1.8-V ×4, ×8 181 306 234 326 257 326 257 326 257 326
DQS SSTL
DDR2 SDRAM 1.8-V ×4, ×8 231 256 284 276 307 276 307 276 307 276
Single-ended DQS SSTL
DDR SDRAM 2.5-V ×4, ×8 231 256 284 261 307 261 307 261 307 261
Single-ended DQS SSTL
1.5-V ×9, ×18, 261 286 314 291 337 291 337 291 337 291
QDRII/II+ SRAM
HSTL ×36
QDRII/II+ SRAM 1.5-V ×36 261 328 314 337 337 350 337 350 337 350
Emulation (2) HSTL
1.8-V ×9, ×18, 261 286 314 291 337 291 337 291 337 291
QDRII/II+ SRAM
HSTL ×36
QDRII/II+ SRAM 1.8-V ×36 261 328 314 337 337 350 337 350 337 350
Emulation (2) HSTL
1.5-V ×9, ×18 211 336 264 356 287 356 287 356 287 356
RLDRAM II
HSTL
1.8-V ×9, ×18 211 336 264 356 287 356 287 356 287 356
RLDRAM II
HSTL
Notes to Table 1–28:
(1) The values apply to Column I/Os, Row I/Os and Hybrid mode interface. Column I/Os refer to top and bottom I/Os. Hybrid mode refers to DQ/DQS groups
wrapping over Column I/Os and Row I/Os of the device.
(2) For implementation, refer to the “Supporting ×36 QDRII+/QDRII SRAM Interfaces in the F780 and F1152-Pin Packages” section in the External Memory
Interfaces in Stratix III Devices chapter.
I/O VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 0.9 V
Memory Type Width
Standard TCCS (ps) TCCS (ps) TCCS (ps) TCCS (ps) TCCS (ps)
Lead Lag Lead Lag Lead Lag Lead Lag Lead Lag
DDR3 SDRAM (with 1.5-V ×4, ×8 253 262 — — — — — — — —
Deskew circuitry,
SSTL
401 MHz–533 MHz)
DDR3 SDRAM (8-tap 1.5-V ×4, ×8 293 284 341 332 — — — — — —
phase offset,
SSTL
375 MHz–400 MHz)
DDR3 SDRAM (8-tap 1.5-V ×4, ×8 293 284 341 373 — — — — — —
phase offset,
SSTL
360 MHz–375 MHz)
DDR3 SDRAM (10-tap 1.5-V ×4, ×8 169 470 217 496 258 528 258 528 — —
phase offset,
SSTL
333 MHz–360 MHz)
DDR3 SDRAM (10-tap 1.5-V ×4, ×8 169 470 217 496 258 528 258 528 — —
phase offset,
SSTL
300 MHz–333 MHz)
DDR3 SDRAM 1.5-V ×4, ×8 268 246 230 355 250 388 250 388 250 388
(Non-leveling interface) SSTL
DDR2 SDRAM Differential 1.8-V ×4, ×8 229 246 230 355 250 388 250 388 350 488
DQS SSTL
DDR2 SDRAM 1.8-V ×4, ×8 316 168 318 239 346 260 346 260 446 360
Single-ended DQS SSTL
DDR SDRAM 2.5-V ×4, ×8 313 157 315 222 343 242 343 242 443 342
Single-ended DQS SSTL
1.5-V ×9, ×18, 290 278 292 388 315 421 315 421 415 521
QDRII/II+ SRAM
HSTL ×36
QDRII/II+ SRAM 1.5-V ×36 310 298 312 408 335 441 335 441 435 541
Emulation (2) HSTL
I/O VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 1.1 V VCCL = 0.9 V
Memory Type Width
Standard TCCS (ps) TCCS (ps) TCCS (ps) TCCS (ps) TCCS (ps)
Lead Lag Lead Lag Lead Lag Lead Lag Lead Lag
1.8-V ×9, ×18, 259 276 260 385 280 418 280 418 380 518
QDRII/II+ SRAM
HSTL ×36
QDRII/II+ SRAM 1.8-V ×36 279 296 280 405 300 438 300 438 400 538
Emulation (2) HSTL
1.5-V ×9, ×18 290 278 292 388 315 421 315 421 415 521
RLDRAM II
HSTL
1.8-V ×9, ×18 259 276 260 385 280 418 280 418 380 518
RLDRAM II
HSTL
Notes to Table 1–29:
(1) The values apply to Column I/Os, Row I/Os, and Hybrid mode interfaces. Column I/Os refer to top and bottom I/Os. Hybrid mode refers to DQ/DQS groups
wrapping over Column I/Os and Row I/Os of the device.
(2) For implementation, refer to the “Supporting ×36 QDRII+/QDRII SRAM Interfaces in the F780 and F1152-Pin Packages” section in the External Memory
Interfaces in Stratix III Devices chapter.
Table 1–30. DLL Frequency Range Specifications for Stratix III Devices
Table 1–31 lists the average DQS phase offset delay per setting for Stratix III devices.
Table 1–31. Average DQS Phase Offset Delay per Setting for Stratix III Devices (Note 1), (2), (3)
Table 1–32 lists the DQS phase shift error specification for DLL-delayed clock
(tDQS_PSERR) for Stratix III devices.
Table 1–32. DQS Phase Shift Error Specification for DLL-Delayed Clock (tDQS_PSERR) for Stratix III Devices (Note 1)
Number of DQS Delay
C2 C3, I3 C4, C4L, I4, I4L Unit
Buffer
1 ±13 ±14 ±15 ps
2 ±26 ±28 ±30 ps
3 ±39 ±42 ±45 ps
4 ±52 ±56 ±60 ps
Note to Table 1–32:
(1) This error specification is the absolute maximum and minimum error. For example, skew on three DQS delay buffers in a C2 speed grade is
± 39 ps.
Table 1–33 lists the memory output jitter specification for Stratix III devices.
Table 1–33. Memory Output Clock Jitter Specification for Stratix III Devices (Note 1), (2)
C2 C3, I3 C4, I4 C4L, I4L
Clock
Parameter Symbol VCCL = 1.1V VCCL = 1.1V VCCL = 1.1V VCCL = 1.1V VCCL = 0.9V Unit
Network
Min Max Min Max Min Max Min Max Min Max
Clock period jitter Regional tJIT(per) –75 75 –85 85 –100 100 –100 100 –120 120 ps
Cycle-to-cycle period jitter Regional tJIT(cc) –150 150 –170 170 –190 190 –190 190 –230 230 ps
Duty cycle jitter Regional tJIT(duty) –80 80 –90 90 –100 100 –100 100 –140 140 ps
Clock period jitter Global tJIT(per) –113 113 –128 128 –150 150 –150 150 –180 180 ps
Cycle-to-cycle period jitter Global tJIT(cc) –225 225 –255 255 –285 285 –285 285 –340 340 ps
Duty cycle jitter Global tJIT(duty) –120 120 –135 135 –150 150 –150 150 –180 180 ps
Notes to Table 1–33:
(1) The memory output clock jitter measurements are for 200 consecutive clock cycles, as specified in the JEDEC DDR2/DDR3 standard.
(2) The clock jitter specification applies to memory output clock pins generated using differential signal-splitter and DDIO circuits clocked by a PLL output routed
on a regional or global clock network as specified. Altera recommends using the regional clock networks whenever possible.
DCD Specifications
Table 1–35 lists the worst case duty cycle distortion for Stratix III devices.
Table 1–35. Duty Cycle Distortion on Stratix III I/O Pins (Note 1)
C2 C3 C4
Symbol Unit
Min Max Min Max Min Max
Output Duty Cycle 45 55 45 55 45 55 %
Note to Table 1–35:
(1) The DCD specification applies to clock outputs from the PLLs, global clock tree, and IOE driving dedicated and
general-purpose I/O pins.
I/O Timing
The following sections describe the timing models, preliminary and final timings, I/O
timing measurement methodology, I/O default capacitive loading, programmable
IOE delay, programmable output buffer delay, user I/O timing, and dedicated clock
pin timing.
Timing Model
The DirectDrive technology and MultiTrack interconnect ensure predictable
performance, accurate simulation, and accurate timing analysis across all Stratix III
device densities and speed grades. This section describes the performance of the
Stratix III device I/Os.
All specifications except the fast model are representative of worst-case supply
voltage and junction temperature conditions. Fast model specifications are
representative of best case process, supply voltage, and junction temperature
conditions.
The timing numbers listed in this section are extracted from the Quartus II software
version 8.1.
Figure 1–4 shows the setup and hold timing diagram for input registers.
micro tsu
micro th
For output timing, different I/O standards require different baseline loading
techniques for reporting timing delays. Altera characterizes timing delays with the
required termination for each I/O standard and with 0 pF (except for PCI and PCI-X,
which use 10 pF) loading. The timing is specified up to the output pin of the FPGA
device. The Quartus II software calculates I/O timing for each I/O standard with a
default baseline loading as specified by the I/O standards.
The following measurements are made during device characterization. Altera
measures clock-to-output delays (tco) at worst-case process, minimum voltage, and
maximum temperature (PVT) for default loading conditions listed in Table 1–37 on
page 1–34. The following equation describes clock-pin-to-output-pin timing for
Stratix III devices.
The tco from the clock pin to the I/O pin =
+ delay from the clock pad to the I/O output register
+ IOE output register clock-to-output delay
+ delay from the output register to the output pin
Figure 1–5 shows the output register clock to output timing diagram.
Datain Output
Output Register
Clock micro tCO
Simulation using IBIS models is required to determine the delays on the PCB traces in
addition to the output pin delay timing reported by the Quartus II software and the
timing model in the Stratix III Device Handbook. Perform the following steps:
1. Simulate the output driver of choice into the generalized test setup using values
from Table 1–37.
2. Record the time to VMEAS at the far end of the PCB trace.
3. Simulate the output driver of choice into the actual PCB trace and load using the
appropriate IBIS model or capacitance value to represent the load.
4. Record the time to VMEAS at the far end of the PCB trace.
5. Compare the results of steps 2 and 4. The increase or decrease in delay must be
added to or subtracted from the I/O Standard Output Adder delays to yield the
actual worst-case propagation delay (clock-to-output) of the PCB trace.
The Quartus II software reports the timing with the conditions listed in Table 1–37
using Equation 1–1 on page 1–7. Figure 1–6 shows the circuit that is represented by
the output timing of the Quartus II software.
Figure 1–6. Output Delay Timing Report Setup for Single-Ended Outputs and Dedicated Differential
Outputs (Note 1)
VTT
VCCIO
RT Outputp
RS
Output Output
RD
Buffer VMEAS Outputn
CL
GND GND
Figure 1–7 and Figure 1–8 show the circuit that is represented by the output timing of
the Quartus II software for differential outputs with single and multiple external
resistors, respectively.
Figure 1–7. Output Delay Timing Report Setup for Differential Outputs with Single External Resistor
Non-Dedicated
Differential Outputs
VMEAS
RP RD
VMEAS
Figure 1–8. Output Delay Timing Report Setup for Differential Outputs with Three External Resistor
Non-Dedicated
Differential Outputs
VMEAS RS
RP RD
VMEAS RS
Table 1–37. Output Timing Measurement Methodology for Output Pins (Part 1 of 3)
Measurement
Loading and Termination
I/O Standard Point
Table 1–37. Output Timing Measurement Methodology for Output Pins (Part 2 of 3)
Measurement
Loading and Termination
I/O Standard Point
Table 1–37. Output Timing Measurement Methodology for Output Pins (Part 3 of 3)
Measurement
Loading and Termination
I/O Standard Point
Table 1–38. Default Loading of Various I/O Standards for Stratix III Devices (Part 1 of 2)
Capacitive
I/O Standard Unit
Load
3.3-V LVTTL 0 pF
3.3-V LVCMOS 0 pF
3.0-V LVTTL 0 pF
3.0-V LVCMOS 0 pF
2.5-V LVTTL/LVCMOS 0 pF
1.8-V LVTTL/LVCMOS 0 pF
1.5-V LVTTL/LVCMOS 0 pF
3.0-V PCI 10 pF
3.0-V PCI-X 10 pF
SSTL-2 CLASS I 0 pF
SSTL-2 CLASS II 0 pF
SSTL-18 CLASS I 0 pF
SSTL-18 CLASS II 0 pF
1.5-V HSTL CLASS I 0 pF
1.5-V HSTL CLASS II 0 pF
1.8-V HSTL CLASS I 0 pF
1.8-V HSTL CLASS II 0 pF
1.2-V HSTL 0 pF
Differential SSTL-2 CLASS I 0 pF
Differential SSTL-2 CLASS II 0 pF
Differential SSTL-18 CLASS I 0 pF
Table 1–38. Default Loading of Various I/O Standards for Stratix III Devices (Part 2 of 2)
Capacitive
I/O Standard Unit
Load
Differential SSTL-18 CLASS II 0 pF
1.8-V Differential HSTL CLASS I 0 pF
1.8-V Differential HSTL CLASS II 0 pF
1.5-V Differential HSTL CLASS I 0 pF
1.5-V Differential HSTL CLASS II 0 pF
1.2-V Differential HSTL CLASS I 0 pF
1.2-V Differential HSTL CLASS II 0 pF
LVDS 0 pF
f For more information about the annotation of delays in the IOE, refer to
Figure 7–7 in the Stratix III Device I/O Features chapter.
Table 1–39. IOE Programmable Delay for Stratix III Devices (Note 1)
Fast Model C2 C3 C4 C4L I3 I4 I4L
Commercial
VCCL = 1.1 V
VCCL = 1.1 V
VCCL = 1.1 V
VCCL = 0.9 V
VCCL = 1.1 V
VCCL = 1.1 V
VCCL = 1.1 V
VCCL = 0.9 V
VCCL = 1.1 V
Industrial
Min
Available
Parameter Offset Unit
Settings
(2)
Max Max Max Max Max Max Max Max Max Max Max
Offset Offset Offset Offset Offset Offset Offset Offset Offset Offset Offset
D1 15 0 442 491 748 829 916 871 833 870 957 915 833 ps
D2 7 0 248 285 387 412 442 427 411 433 464 448 411 ps
D3 7 0 1625 1806 2747 3058 3371 3218 3084 3210 3540 3382 3084 ps
D4 15 0 491 517 726 872 884 844 808 845 928 887 808 ps
D5 15 0 452 503 764 801 930 887 850 889 977 932 850 ps
D6 6 0 179 199 305 337 370 354 339 354 389 371 339 ps
Notes to Table 1–39:
(1) You can set the parameter values in the Quartus II software by selecting D1, D2, D3, D4, D5, and D6 in the Assignment Name column.
(2) The minimum offset represented in this table does not include the intrinsic delay.
tsu -0.690 -0.689 -1.004 -1.103 -1.311 -1.266 -1.627 -1.103 -1.311 -1.266 -1.627 ns
GCLK
3.3-V th 0.816 0.814 1.182 1.304 1.531 1.475 1.830 1.304 1.531 1.475 1.830 ns
LVTTL tsu -0.975 -0.975 -1.405 -1.532 -1.773 -1.713 -2.026 -1.532 -1.773 -1.713 -2.026 ns
GCLK
PLL th 1.226 1.226 1.774 1.947 2.232 2.148 2.471 1.947 2.232 2.148 2.471 ns
tsu -0.690 -0.689 -1.004 -1.103 -1.311 -1.266 -1.627 -1.103 -1.311 -1.266 -1.627 ns
GCLK
3.3-V th 0.816 0.814 1.182 1.304 1.531 1.475 1.830 1.304 1.531 1.475 1.830 ns
LVCMOS tsu -0.975 -0.975 -1.405 -1.532 -1.773 -1.713 -2.026 -1.532 -1.773 -1.713 -2.026 ns
GCLK
PLL th 1.226 1.226 1.774 1.947 2.232 2.148 2.471 1.947 2.232 2.148 2.471 ns
tsu -0.701 -0.700 -1.003 -1.105 -1.310 -1.265 -1.626 -1.105 -1.310 -1.265 -1.626 ns
GCLK
3.0-V th 0.827 0.825 1.181 1.306 1.530 1.474 1.829 1.306 1.530 1.474 1.829 ns
LVTTL tsu -0.986 -0.986 -1.404 -1.534 -1.772 -1.712 -2.025 -1.534 -1.772 -1.712 -2.025 ns
GCLK
PLL th 1.237 1.237 1.773 1.949 2.231 2.147 2.470 1.949 2.231 2.147 2.470 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard Industrial Commercial
VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.701 -0.700 -1.003 -1.105 -1.310 -1.265 -1.626 -1.105 -1.310 -1.265 -1.626 ns
GCLK
3.0-V th 0.827 0.825 1.181 1.306 1.530 1.474 1.829 1.306 1.530 1.474 1.829 ns
LVCMOS tsu -0.986 -0.986 -1.404 -1.534 -1.772 -1.712 -2.025 -1.534 -1.772 -1.712 -2.025 ns
GCLK
PLL th 1.237 1.237 1.773 1.949 2.231 2.147 2.470 1.949 2.231 2.147 2.470 ns
tsu -0.696 -0.695 -1.012 -1.117 -1.329 -1.284 -1.645 -1.117 -1.329 -1.284 -1.645 ns
GCLK
th 0.822 0.820 1.190 1.318 1.549 1.493 1.848 1.318 1.549 1.493 1.848 ns
2.5 V
GCLK tsu -0.981 -0.981 -1.413 -1.546 -1.791 -1.731 -2.044 -1.546 -1.791 -1.731 -2.044 ns
PLL th 1.232 1.232 1.782 1.961 2.250 2.166 2.489 1.961 2.250 2.166 2.489 ns
tsu -0.716 -0.715 -1.052 -1.153 -1.327 -1.282 -1.643 -1.153 -1.327 -1.282 -1.643 ns
GCLK
th 0.844 0.842 1.230 1.354 1.547 1.491 1.846 1.354 1.547 1.491 1.846 ns
1.8 V
GCLK tsu -1.003 -1.003 -1.453 -1.582 -1.789 -1.729 -2.042 -1.582 -1.789 -1.729 -2.042 ns
PLL th 1.256 1.256 1.822 1.997 2.248 2.164 2.487 1.997 2.248 2.164 2.487 ns
tsu -0.706 -0.705 -1.029 -1.121 -1.257 -1.212 -1.573 -1.121 -1.257 -1.212 -1.573 ns
GCLK
th 0.834 0.832 1.207 1.322 1.477 1.421 1.776 1.322 1.477 1.421 1.776 ns
1.5 V
GCLK tsu -0.993 -0.993 -1.430 -1.550 -1.719 -1.659 -1.972 -1.550 -1.719 -1.659 -1.972 ns
PLL th 1.246 1.246 1.799 1.965 2.178 2.094 2.417 1.965 2.178 2.094 2.417 ns
tsu -0.654 -0.653 -0.952 -1.022 -1.101 -1.056 -1.417 -1.022 -1.101 -1.056 -1.417 ns
GCLK
th 0.782 0.780 1.130 1.223 1.321 1.265 1.620 1.223 1.321 1.265 1.620 ns
1.2 V
GCLK tsu -0.941 -0.941 -1.353 -1.451 -1.563 -1.503 -1.816 -1.451 -1.563 -1.503 -1.816 ns
PLL th 1.194 1.194 1.722 1.866 2.022 1.938 2.261 1.866 2.022 1.938 2.261 ns
tsu -0.625 -0.624 -0.924 -1.006 -1.103 -1.058 -1.419 -1.006 -1.103 -1.058 -1.419 ns
GCLK
SSTL-2 th 0.753 0.751 1.102 1.207 1.323 1.267 1.622 1.207 1.323 1.267 1.622 ns
CLASS I tsu -0.912 -0.912 -1.325 -1.435 -1.565 -1.505 -1.818 -1.435 -1.565 -1.505 -1.818 ns
GCLK
PLL th 1.165 1.165 1.694 1.850 2.024 1.940 2.263 1.850 2.024 1.940 2.263 ns
tsu -0.625 -0.624 -0.924 -1.006 -1.103 -1.058 -1.419 -1.006 -1.103 -1.058 -1.419 ns
GCLK
SSTL-2 th 0.753 0.751 1.102 1.207 1.323 1.267 1.622 1.207 1.323 1.267 1.622 ns
CLASS II tsu -0.912 -0.912 -1.325 -1.435 -1.565 -1.505 -1.818 -1.435 -1.565 -1.505 -1.818 ns
GCLK
PLL th 1.165 1.165 1.694 1.850 2.024 1.940 2.263 1.850 2.024 1.940 2.263 ns
tsu -0.619 -0.618 -0.912 -1.001 -1.103 -1.056 -1.417 -1.001 -1.103 -1.056 -1.417 ns
GCLK
SSTL-18 th 0.747 0.745 1.089 1.199 1.320 1.264 1.615 1.199 1.320 1.264 1.615 ns
CLASS I tsu -0.906 -0.906 -1.312 -1.427 -1.562 -1.500 -1.816 -1.427 -1.562 -1.500 -1.816 ns
GCLK
PLL th 1.159 1.159 1.681 1.839 2.018 1.934 2.256 1.839 2.018 1.934 2.256 ns
tsu -0.619 -0.618 -0.912 -1.001 -1.103 -1.056 -1.417 -1.001 -1.103 -1.056 -1.417 ns
GCLK
SSTL-18 th 0.747 0.745 1.089 1.199 1.320 1.264 1.615 1.199 1.320 1.264 1.615 ns
CLASS II tsu -0.906 -0.906 -1.312 -1.427 -1.562 -1.500 -1.816 -1.427 -1.562 -1.500 -1.816 ns
GCLK
PLL th 1.159 1.159 1.681 1.839 2.018 1.934 2.256 1.839 2.018 1.934 2.256 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard Industrial Commercial
VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.608 -0.607 -0.903 -0.990 -1.084 -1.037 -1.398 -0.990 -1.084 -1.037 -1.398 ns
GCLK
SSTL-15 th 0.736 0.734 1.079 1.188 1.301 1.245 1.596 1.188 1.301 1.245 1.596 ns
CLASS I tsu -0.895 -0.895 -1.301 -1.416 -1.543 -1.481 -1.797 -1.416 -1.543 -1.481 -1.797 ns
GCLK
PLL th 1.148 1.148 1.669 1.828 1.999 1.915 2.237 1.828 1.999 1.915 2.237 ns
tsu -0.608 -0.607 -0.903 -0.990 -1.084 -1.037 -1.398 -0.990 -1.084 -1.037 -1.398 ns
GCLK
1.8-V th 0.736 0.734 1.079 1.188 1.301 1.245 1.596 1.188 1.301 1.245 1.596 ns
HSTL
CLASS I GCLK tsu -0.895 -0.895 -1.301 -1.416 -1.543 -1.481 -1.797 -1.416 -1.543 -1.481 -1.797 ns
PLL th 1.148 1.148 1.669 1.828 1.999 1.915 2.237 1.828 1.999 1.915 2.237 ns
tsu -0.619 -0.618 -0.912 -1.001 -1.103 -1.056 -1.417 -1.001 -1.103 -1.056 -1.417 ns
GCLK
1.8-V th 0.747 0.745 1.089 1.199 1.320 1.264 1.615 1.199 1.320 1.264 1.615 ns
HSTL
CLASS II GCLK tsu -0.906 -0.906 -1.312 -1.427 -1.562 -1.500 -1.816 -1.427 -1.562 -1.500 -1.816 ns
PLL th 1.159 1.159 1.681 1.839 2.018 1.934 2.256 1.839 2.018 1.934 2.256 ns
tsu -0.619 -0.618 -0.912 -1.001 -1.103 -1.056 -1.417 -1.001 -1.103 -1.056 -1.417 ns
GCLK
1.5-V th 0.747 0.745 1.089 1.199 1.320 1.264 1.615 1.199 1.320 1.264 1.615 ns
HSTL
CLASS I GCLK tsu -0.906 -0.906 -1.312 -1.427 -1.562 -1.500 -1.816 -1.427 -1.562 -1.500 -1.816 ns
PLL th 1.159 1.159 1.681 1.839 2.018 1.934 2.256 1.839 2.018 1.934 2.256 ns
tsu -0.608 -0.607 -0.903 -0.990 -1.084 -1.037 -1.398 -0.990 -1.084 -1.037 -1.398 ns
GCLK
1.5-V th 0.736 0.734 1.079 1.188 1.301 1.245 1.596 1.188 1.301 1.245 1.596 ns
HSTL
CLASS II GCLK tsu -0.895 -0.895 -1.301 -1.416 -1.543 -1.481 -1.797 -1.416 -1.543 -1.481 -1.797 ns
PLL th 1.148 1.148 1.669 1.828 1.999 1.915 2.237 1.828 1.999 1.915 2.237 ns
tsu -0.608 -0.607 -0.903 -0.990 -1.084 -1.037 -1.398 -0.990 -1.084 -1.037 -1.398 ns
GCLK
1.2-V th 0.736 0.734 1.079 1.188 1.301 1.245 1.596 1.188 1.301 1.245 1.596 ns
HSTL
CLASS I GCLK tsu -0.895 -0.895 -1.301 -1.416 -1.543 -1.481 -1.797 -1.416 -1.543 -1.481 -1.797 ns
PLL th 1.148 1.148 1.669 1.828 1.999 1.915 2.237 1.828 1.999 1.915 2.237 ns
tsu -0.596 -0.595 -0.893 -0.979 -1.068 -1.021 -1.382 -0.979 -1.068 -1.021 -1.382 ns
GCLK
1.2-V th 0.724 0.722 1.069 1.177 1.285 1.229 1.580 1.177 1.285 1.229 1.580 ns
HSTL
CLASS II GCLK tsu -0.883 -0.883 -1.291 -1.405 -1.527 -1.465 -1.781 -1.405 -1.527 -1.465 -1.781 ns
PLL th 1.136 1.136 1.659 1.817 1.983 1.899 2.221 1.817 1.983 1.899 2.221 ns
tsu -0.596 -0.595 -0.893 -0.979 -1.068 -1.021 -1.382 -0.979 -1.068 -1.021 -1.382 ns
GCLK
th 0.724 0.722 1.069 1.177 1.285 1.229 1.580 1.177 1.285 1.229 1.580 ns
3.0-V PCI
GCLK tsu -0.883 -0.883 -1.291 -1.405 -1.527 -1.465 -1.781 -1.405 -1.527 -1.465 -1.781 ns
PLL th 1.136 1.136 1.659 1.817 1.983 1.899 2.221 1.817 1.983 1.899 2.221 ns
tsu -0.701 -0.700 -1.003 -1.105 -1.310 -1.265 -1.626 -1.105 -1.310 -1.265 -1.626 ns
GCLK
3.0-V th 0.827 0.825 1.181 1.306 1.530 1.474 1.829 1.306 1.530 1.474 1.829 ns
PCI-X tsu -0.986 -0.986 -1.404 -1.534 -1.772 -1.712 -2.025 -1.534 -1.772 -1.712 -2.025 ns
GCLK
PLL th 1.237 1.237 1.773 1.949 2.231 2.147 2.470 1.949 2.231 2.147 2.470 ns
Table 1–42 lists the EP3SL50 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.884 -0.914 -1.291 -1.412 -1.634 -1.580 -1.842 -1.428 -1.639 -1.588 -1.875 ns
GCLK
3.3-V th 0.997 1.040 1.554 1.774 1.924 1.808 1.834 1.768 1.931 1.777 1.885 ns
LVTTL tsu 0.910 0.917 1.476 1.619 1.864 1.796 2.057 1.645 1.878 1.814 2.090 ns
GCLK
PLL th -0.661 -0.656 -1.291 -1.412 -1.634 -1.580 -1.842 -1.428 -1.639 -1.588 -1.875 ns
tsu -0.884 -0.914 -1.291 -1.412 -1.634 -1.580 -1.842 -1.428 -1.639 -1.588 -1.875 ns
GCLK
3.3-V th 0.997 1.040 1.554 1.774 1.924 1.808 1.834 1.768 1.931 1.777 1.885 ns
LVCMOS tsu 0.910 0.917 1.476 1.619 1.864 1.796 2.057 1.645 1.878 1.814 2.090 ns
GCLK
PLL th -0.661 -0.656 -1.288 -1.413 -1.637 -1.583 -1.845 -1.427 -1.644 -1.593 -1.880 ns
tsu -0.890 -0.925 1.473 1.620 1.867 1.799 2.060 1.644 1.883 1.819 2.095 ns
GCLK
3.0-V th 1.003 1.051 -1.288 -1.413 -1.637 -1.583 -1.845 -1.427 -1.644 -1.593 -1.880 ns
LVTTL tsu 0.904 0.906 1.557 1.773 1.921 1.805 1.831 1.769 1.926 1.772 1.880 ns
GCLK
PLL th -0.655 -0.645 1.473 1.620 1.867 1.799 2.060 1.644 1.883 1.819 2.095 ns
tsu -0.890 -0.925 1.482 1.633 1.882 1.814 2.075 1.653 1.893 1.829 2.105 ns
GCLK
3.0-V th 1.003 1.051 -1.297 -1.426 -1.652 -1.598 -1.860 -1.436 -1.654 -1.603 -1.890 ns
LVCMOS tsu 0.904 0.906 1.548 1.760 1.906 1.790 1.816 1.760 1.916 1.762 1.870 ns
GCLK
PLL th -0.655 -0.645 1.482 1.633 1.882 1.814 2.075 1.653 1.893 1.829 2.105 ns
tsu -0.878 -0.918 1.551 1.770 1.914 1.799 1.828 1.772 1.922 1.804 1.879 ns
GCLK
th 0.991 1.044 1.554 1.698 1.914 1.845 2.111 1.718 1.928 1.862 2.141 ns
2.5 V
GCLK tsu 0.916 0.913 -1.369 -1.491 -1.684 -1.629 -1.895 -1.501 -1.688 -1.635 -1.926 ns
PLL th -0.667 -0.652 1.551 1.770 1.914 1.799 1.828 1.772 1.922 1.804 1.879 ns
tsu -0.940 -0.982 1.575 1.802 1.982 1.867 1.896 1.803 1.987 1.869 1.944 ns
GCLK
th 0.930 0.925 1.530 1.666 1.846 1.777 2.043 1.687 1.863 1.797 2.076 ns
1.8 V
GCLK tsu 1.054 1.109 -1.345 -1.459 -1.616 -1.561 -1.827 -1.470 -1.623 -1.570 -1.861 ns
PLL th -0.930 -0.971 1.654 1.903 2.141 2.026 2.055 1.899 2.142 2.024 2.099 ns
tsu 0.940 0.936 1.451 1.565 1.687 1.618 1.884 1.591 1.708 1.642 1.921 ns
GCLK
th 1.044 1.098 -1.266 -1.358 -1.457 -1.402 -1.668 -1.374 -1.468 -1.415 -1.706 ns
1.5 V
GCLK tsu -0.870 -0.918 1.634 1.869 2.126 2.010 2.036 1.872 2.133 1.979 2.087 ns
PLL th 1.000 0.989 1.396 1.524 1.662 1.594 1.855 1.541 1.676 1.612 1.888 ns
tsu 0.984 1.045 -1.228 -1.331 -1.452 -1.396 -1.664 -1.342 -1.461 -1.407 -1.699 ns
GCLK
th -0.821 -0.860 1.692 -1.331 -1.452 -1.396 -1.664 -1.342 -1.461 -1.407 -1.699 ns
1.2 V
GCLK tsu 0.973 0.971 1.413 1.536 1.680 1.611 1.876 1.557 1.698 1.633 1.910 ns
PLL th 0.935 0.987 -1.228 1.930 2.146 2.032 2.059 1.931 2.149 2.032 2.106 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.821 -0.860 1.692 1.930 2.146 2.032 2.059 1.931 2.149 2.032 2.106 ns
GCLK
SSTL-2 th 0.973 0.971 1.413 -1.483 -1.648 -1.564 -1.586 -1.477 -1.641 -1.554 -1.632 ns
CLASS I tsu 0.935 0.987 -1.228 -1.331 -1.452 -1.396 -1.664 -1.342 -1.461 -1.407 -1.699 ns
GCLK
PLL th -0.844 -0.883 1.692 1.536 1.680 1.611 1.876 1.557 1.698 1.633 1.910 ns
tsu 1.026 1.024 1.413 1.930 2.146 2.032 2.059 1.931 2.149 2.032 2.106 ns
GCLK
SSTL-2 th 0.958 1.010 -1.228 -1.483 -1.648 -1.564 -1.586 -1.477 -1.641 -1.554 -1.632 ns
CLASS II tsu -0.844 -0.883 -1.309 -1.321 -1.434 -1.378 -1.646 -1.331 -1.444 -1.390 -1.682 ns
GCLK
PLL th 1.026 1.024 -1.213 1.526 1.662 1.593 1.858 1.546 1.681 1.616 1.893 ns
tsu 0.958 1.010 1.399 1.940 2.164 2.050 2.077 1.942 2.166 2.049 2.123 ns
GCLK
SSTL-18 th -0.830 -0.871 1.692 1.940 2.164 2.050 2.077 1.942 2.166 2.049 2.123 ns
CLASS I tsu 1.040 1.036 1.413 -1.493 -1.666 -1.582 -1.604 -1.488 -1.658 -1.571 -1.649 ns
GCLK
PLL th 0.944 0.998 -1.228 -1.321 -1.434 -1.378 -1.646 -1.331 -1.444 -1.390 -1.682 ns
tsu -0.844 -0.883 1.692 -1.483 -1.648 -1.564 -1.586 -1.477 -1.641 -1.554 -1.632 ns
GCLK
SSTL-18 th 1.026 1.024 1.413 -1.331 -1.452 -1.396 -1.664 -1.342 -1.461 -1.407 -1.699 ns
CLASS II tsu 0.958 1.010 -1.228 1.536 1.680 1.611 1.876 1.557 1.698 1.633 1.910 ns
GCLK
PLL th -0.844 -0.883 -1.309 1.930 2.146 2.032 2.059 1.931 2.149 2.032 2.106 ns
tsu 1.026 1.024 -1.213 -1.483 -1.648 -1.564 -1.586 -1.477 -1.641 -1.554 -1.632 ns
GCLK
SSTL-15 th 0.958 1.010 1.399 -1.331 -1.452 -1.396 -1.664 -1.342 -1.461 -1.407 -1.699 ns
CLASS I tsu -0.830 -0.871 1.707 1.536 1.680 1.611 1.876 1.557 1.698 1.633 1.910 ns
GCLK
PLL th 1.040 1.036 -1.309 1.930 2.146 2.032 2.059 1.931 2.149 2.032 2.106 ns
tsu 0.944 0.998 -1.213 -1.483 -1.648 -1.564 -1.586 -1.477 -1.641 -1.554 -1.632 ns
GCLK
1.8-V th -0.830 -0.871 -1.318 1.940 2.164 2.050 2.077 1.942 2.166 2.049 2.123 ns
HSTL
CLASS I GCLK tsu 1.040 1.036 -1.204 -1.493 -1.666 -1.582 -1.604 -1.488 -1.658 -1.571 -1.649 ns
PLL th 0.944 0.998 1.390 -1.321 -1.434 -1.378 -1.646 -1.331 -1.444 -1.390 -1.682 ns
tsu -0.821 -0.859 1.716 1.526 1.662 1.593 1.858 1.546 1.681 1.616 1.893 ns
GCLK
1.8-V th 1.049 1.048 -1.318 1.940 2.164 2.050 2.077 1.942 2.166 2.049 2.123 ns
HSTL
CLASS II GCLK tsu 0.935 0.986 -1.204 -1.493 -1.666 -1.582 -1.604 -1.488 -1.658 -1.571 -1.649 ns
PLL th -0.821 -0.859 -1.318 1.950 2.180 2.066 2.093 1.951 2.182 2.065 2.139 ns
tsu 1.049 1.048 -1.288 -1.503 -1.682 -1.598 -1.620 -1.497 -1.674 -1.587 -1.665 ns
GCLK
1.5-V th 0.935 0.986 1.557 -1.311 -1.418 -1.362 -1.630 -1.322 -1.428 -1.374 -1.666 ns
HSTL
CLASS I GCLK tsu -0.890 -0.925 1.473 1.516 1.646 1.577 1.842 1.537 1.665 1.600 1.877 ns
PLL th 1.003 1.051 -1.288 1.950 2.180 2.066 2.093 1.951 2.182 2.065 2.139 ns
tsu 0.904 0.906 1.557 -1.503 -1.682 -1.598 -1.620 -1.497 -1.674 -1.587 -1.665 ns
GCLK
1.5-V th -0.655 -0.645 1.473 -1.311 -1.418 -1.362 -1.630 -1.322 -1.428 -1.374 -1.666 ns
HSTL
CLASS II GCLK tsu -0.890 -0.925 1.473 1.516 1.646 1.577 1.842 1.537 1.665 1.600 1.877 ns
PLL th 1.003 1.051 1.473 1.950 2.180 2.066 2.093 1.951 2.182 2.065 2.139 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu 0.904 0.906 1.473 -1.503 -1.682 -1.598 -1.620 -1.497 -1.674 -1.587 -1.665 ns
GCLK
1.2-V th -0.655 -0.645 1.473 -1.311 -1.418 -1.362 -1.630 -1.322 -1.428 -1.374 -1.666 ns
HSTL
CLASS I GCLK tsu -0.884 -0.914 -1.291 -1.412 -1.634 -1.580 -1.842 -1.428 -1.639 -1.588 -1.875 ns
PLL th 0.997 1.040 1.554 1.774 1.924 1.808 1.834 1.768 1.931 1.777 1.885 ns
tsu 0.910 0.917 1.476 1.619 1.864 1.796 2.057 1.645 1.878 1.814 2.090 ns
GCLK
1.2-V th -0.661 -0.656 -1.291 -1.412 -1.634 -1.580 -1.842 -1.428 -1.639 -1.588 -1.875 ns
HSTL
CLASS II GCLK tsu -0.884 -0.914 -1.291 -1.412 -1.634 -1.580 -1.842 -1.428 -1.639 -1.588 -1.875 ns
PLL th 0.997 1.040 1.554 1.774 1.924 1.808 1.834 1.768 1.931 1.777 1.885 ns
tsu 0.910 0.917 1.476 1.619 1.864 1.796 2.057 1.645 1.878 1.814 2.090 ns
GCLK
th -0.661 -0.656 -1.288 -1.413 -1.637 -1.583 -1.845 -1.427 -1.644 -1.593 -1.880 ns
3.0-V PCI
GCLK tsu -0.890 -0.925 1.473 1.620 1.867 1.799 2.060 1.644 1.883 1.819 2.095 ns
PLL th 1.003 1.051 -1.288 -1.413 -1.637 -1.583 -1.845 -1.427 -1.644 -1.593 -1.880 ns
tsu 0.904 0.906 1.557 1.773 1.921 1.805 1.831 1.769 1.926 1.772 1.880 ns
GCLK
3.0-V th -0.655 -0.645 1.473 1.620 1.867 1.799 2.060 1.644 1.883 1.819 2.095 ns
PCI-X tsu -0.890 -0.925 1.482 1.633 1.882 1.814 2.075 1.653 1.893 1.829 2.105 ns
GCLK
PLL th 1.003 1.051 -1.297 -1.426 -1.652 -1.598 -1.860 -1.436 -1.654 -1.603 -1.890 ns
Table 1–43 lists the EP3SL50 column pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.168 3.156 4.382 4.742 5.210 5.092 5.294 4.742 5.210 5.092 5.294 ns
4mA GCLK
tco 3.524 3.524 4.905 5.304 5.820 5.677 5.944 5.304 5.820 5.677 5.944 ns
PLL
GCLK tco 3.101 3.089 4.273 4.631 5.097 4.979 5.181 4.631 5.097 4.979 5.181 ns
8mA GCLK
tco 3.457 3.457 4.796 5.193 5.707 5.564 5.831 5.193 5.707 5.564 5.831 ns
3.3-V PLL
LVTTL GCLK tco 3.015 3.003 4.170 4.532 5.005 4.887 5.089 4.532 5.005 4.887 5.089 ns
12mA GCLK
tco 3.371 3.371 4.692 5.094 5.615 5.472 5.739 5.094 5.615 5.472 5.739 ns
PLL
GCLK tco 3.008 2.996 4.153 4.504 4.964 4.846 5.048 4.504 4.964 4.846 5.048 ns
16mA GCLK
tco 3.364 3.364 4.675 5.066 5.574 5.431 5.698 5.066 5.574 5.431 5.698 ns
PLL
GCLK tco 3.174 3.162 4.387 4.747 5.217 5.099 5.301 4.747 5.217 5.099 5.301 ns
4mA GCLK
tco 3.530 3.530 4.909 5.309 5.827 5.684 5.951 5.309 5.827 5.684 5.951 ns
PLL
GCLK tco 3.019 3.007 4.180 4.549 5.016 4.898 5.100 4.549 5.016 4.898 5.100 ns
8mA GCLK
tco 3.375 3.375 4.702 5.111 5.626 5.483 5.750 5.111 5.626 5.483 5.750 ns
3.3-V PLL
LVCMOS GCLK tco 3.026 3.014 4.174 4.528 4.990 4.872 5.074 4.528 4.990 4.872 5.074 ns
12mA GCLK
tco 3.382 3.382 4.696 5.090 5.600 5.457 5.724 5.090 5.600 5.457 5.724 ns
PLL
GCLK tco 3.010 2.998 4.151 4.503 4.961 4.843 5.045 4.503 4.961 4.843 5.045 ns
16mA GCLK
tco 3.366 3.366 4.674 5.065 5.571 5.428 5.695 5.065 5.571 5.428 5.695 ns
PLL
GCLK tco 3.132 3.120 4.349 4.710 5.177 5.059 5.261 4.710 5.177 5.059 5.261 ns
4mA GCLK
tco 3.488 3.488 4.872 5.272 5.787 5.644 5.911 5.272 5.787 5.644 5.911 ns
PLL
GCLK tco 3.021 3.009 4.219 4.576 5.040 4.923 5.122 4.576 5.040 4.923 5.122 ns
8mA GCLK
tco 3.377 3.377 4.742 5.138 5.650 5.508 5.773 5.138 5.650 5.508 5.773 ns
3.0-V PLL
LVTTL GCLK tco 2.985 2.973 4.156 4.507 4.966 4.849 5.049 4.507 4.966 4.849 5.049 ns
12mA GCLK
tco 3.341 3.341 4.679 5.069 5.576 5.434 5.699 5.069 5.576 5.434 5.699 ns
PLL
GCLK tco 2.967 2.955 4.127 4.479 4.937 4.819 5.021 4.479 4.937 4.819 5.021 ns
16mA GCLK
tco 3.323 3.323 4.650 5.041 5.547 5.404 5.671 5.041 5.547 5.404 5.671 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.046 3.034 4.253 4.610 5.075 4.958 5.157 4.610 5.075 4.958 5.157 ns
4mA GCLK
tco 3.402 3.402 4.776 5.172 5.685 5.543 5.808 5.172 5.685 5.543 5.808 ns
PLL
GCLK tco 2.967 2.955 4.130 4.481 4.940 4.823 5.022 4.481 4.940 4.823 5.022 ns
8mA GCLK
tco 3.323 3.323 4.652 5.043 5.550 5.408 5.673 5.043 5.550 5.408 5.673 ns
3.0-V PLL
LVCMOS GCLK tco 2.962 2.950 4.122 4.474 4.931 4.813 5.015 4.474 4.931 4.813 5.015 ns
12mA GCLK
tco 3.318 3.318 4.645 5.036 5.541 5.398 5.665 5.036 5.541 5.398 5.665 ns
PLL
GCLK tco 2.953 2.941 4.108 4.459 4.916 4.798 5.000 4.459 4.916 4.798 5.000 ns
16mA GCLK
tco 3.309 3.309 4.631 5.021 5.526 5.383 5.650 5.021 5.526 5.383 5.650 ns
PLL
GCLK tco 3.168 3.156 4.460 4.837 5.322 5.205 5.405 4.837 5.322 5.205 5.405 ns
4mA GCLK
tco 3.524 3.524 4.983 5.399 5.932 5.790 6.055 5.399 5.932 5.790 6.055 ns
PLL
GCLK tco 3.068 3.056 4.341 4.711 5.190 5.073 5.272 4.711 5.190 5.073 5.272 ns
8mA GCLK
tco 3.424 3.424 4.864 5.273 5.800 5.658 5.923 5.273 5.800 5.658 5.923 ns
PLL
2.5 V
GCLK tco 3.024 3.012 4.254 4.620 5.094 4.976 5.178 4.620 5.094 4.976 5.178 ns
12mA GCLK
tco 3.380 3.380 4.777 5.182 5.704 5.561 5.828 5.182 5.704 5.561 5.828 ns
PLL
GCLK tco 2.986 2.974 4.215 4.578 5.051 4.933 5.135 4.578 5.051 4.933 5.135 ns
16mA GCLK
tco 3.342 3.342 4.738 5.140 5.661 5.518 5.785 5.140 5.661 5.518 5.785 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.359 3.347 4.782 5.197 5.726 5.608 5.810 5.197 5.726 5.608 5.810 ns
2mA GCLK
tco 3.715 3.715 5.304 5.759 6.336 6.193 6.460 5.759 6.336 6.193 6.460 ns
PLL
GCLK tco 3.178 3.166 4.503 4.888 5.379 5.262 5.461 4.888 5.379 5.262 5.461 ns
4mA GCLK
tco 3.534 3.534 5.025 5.450 5.989 5.847 6.112 5.450 5.989 5.847 6.112 ns
PLL
GCLK tco 3.096 3.084 4.396 4.773 5.268 5.150 5.352 4.773 5.268 5.150 5.352 ns
6mA GCLK
tco 3.452 3.452 4.918 5.335 5.878 5.735 6.002 5.335 5.878 5.735 6.002 ns
PLL
1.8 V
GCLK tco 3.076 3.064 4.337 4.719 5.202 5.084 5.286 4.719 5.202 5.084 5.286 ns
8mA GCLK
tco 3.432 3.432 4.860 5.281 5.812 5.669 5.936 5.281 5.812 5.669 5.936 ns
PLL
GCLK tco 3.013 3.001 4.276 4.644 5.121 5.003 5.205 4.644 5.121 5.003 5.205 ns
10mA GCLK
tco 3.369 3.369 4.799 5.206 5.731 5.588 5.855 5.206 5.731 5.588 5.855 ns
PLL
GCLK tco 2.995 2.983 4.256 4.623 5.098 4.980 5.182 4.623 5.098 4.980 5.182 ns
12mA GCLK
tco 3.351 3.351 4.778 5.185 5.708 5.565 5.832 5.185 5.708 5.565 5.832 ns
PLL
GCLK tco 3.305 3.293 4.710 5.129 5.664 5.546 5.748 5.129 5.664 5.546 5.748 ns
2mA GCLK
tco 3.661 3.661 5.233 5.691 6.274 6.131 6.398 5.691 6.274 6.131 6.398 ns
PLL
GCLK tco 3.093 3.081 4.391 4.773 5.272 5.154 5.356 4.773 5.272 5.154 5.356 ns
4mA GCLK
tco 3.449 3.449 4.914 5.335 5.882 5.739 6.006 5.335 5.882 5.739 6.006 ns
PLL
GCLK tco 3.068 3.056 4.324 4.713 5.205 5.087 5.289 4.713 5.205 5.087 5.289 ns
6mA GCLK
tco 3.424 3.424 4.847 5.275 5.815 5.672 5.939 5.275 5.815 5.672 5.939 ns
PLL
1.5 V
GCLK tco 3.057 3.045 4.307 4.688 5.185 5.067 5.269 4.688 5.185 5.067 5.269 ns
8mA GCLK
tco 3.413 3.413 4.830 5.250 5.795 5.652 5.919 5.250 5.795 5.652 5.919 ns
PLL
GCLK tco 3.002 2.990 4.269 4.637 5.115 4.997 5.199 4.637 5.115 4.997 5.199 ns
10mA GCLK
tco 3.358 3.358 4.792 5.199 5.725 5.582 5.849 5.199 5.725 5.582 5.849 ns
PLL
GCLK tco 2.997 2.985 4.253 4.626 5.104 4.986 5.188 4.626 5.104 4.986 5.188 ns
12mA GCLK
tco 3.353 3.353 4.775 5.188 5.714 5.571 5.838 5.188 5.714 5.571 5.838 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.221 3.209 4.636 5.065 5.608 5.490 5.692 5.065 5.608 5.490 5.692 ns
2mA GCLK
tco 3.577 3.577 5.159 5.627 6.218 6.075 6.342 5.627 6.218 6.075 6.342 ns
PLL
GCLK tco 3.098 3.086 4.411 4.803 5.322 5.204 5.406 4.803 5.322 5.204 5.406 ns
4mA GCLK
tco 3.454 3.454 4.933 5.365 5.932 5.789 6.056 5.365 5.932 5.789 6.056 ns
PLL
1.2 V
GCLK tco 3.060 3.048 4.318 4.714 5.209 5.091 5.293 4.714 5.209 5.091 5.293 ns
6mA GCLK
tco 3.416 3.416 4.841 5.276 5.819 5.676 5.943 5.276 5.819 5.676 5.943 ns
PLL
GCLK tco 3.013 3.001 4.290 4.665 5.153 5.035 5.237 4.665 5.153 5.035 5.237 ns
8mA GCLK
tco 3.369 3.369 4.813 5.227 5.763 5.620 5.887 5.227 5.763 5.620 5.887 ns
PLL
GCLK tco 3.013 3.001 4.247 4.612 5.084 4.966 5.168 4.612 5.084 4.966 5.168 ns
8mA GCLK
tco 3.369 3.369 4.770 5.174 5.694 5.551 5.818 5.174 5.694 5.551 5.818 ns
PLL
GCLK tco 3.010 2.998 4.244 4.609 5.080 4.962 5.164 4.609 5.080 4.962 5.164 ns
SSTL-2
10mA GCLK
CLASS I tco 3.366 3.366 4.767 5.171 5.690 5.547 5.814 5.171 5.690 5.547 5.814 ns
PLL
GCLK tco 3.008 2.996 4.244 4.610 5.081 4.963 5.165 4.610 5.081 4.963 5.165 ns
12mA GCLK
tco 3.364 3.364 4.767 5.172 5.691 5.548 5.815 5.172 5.691 5.548 5.815 ns
PLL
GCLK tco 2.999 2.987 4.230 4.594 5.066 4.948 5.150 4.594 5.066 4.948 5.150 ns
SSTL-2
16mA GCLK
CLASS II tco 3.355 3.355 4.752 5.156 5.676 5.533 5.800 5.156 5.676 5.533 5.800 ns
PLL
GCLK tco 3.020 3.008 4.259 4.626 5.100 4.982 5.184 4.626 5.100 4.982 5.184 ns
4mA GCLK
tco 3.376 3.376 4.782 5.188 5.710 5.567 5.834 5.188 5.710 5.567 5.834 ns
PLL
GCLK tco 3.016 3.004 4.257 4.624 5.098 4.980 5.182 4.624 5.098 4.980 5.182 ns
6mA GCLK
tco 3.372 3.372 4.780 5.186 5.708 5.565 5.832 5.186 5.708 5.565 5.832 ns
PLL
GCLK tco 3.005 2.993 4.247 4.615 5.089 4.971 5.173 4.615 5.089 4.971 5.173 ns
SSTL-18
8mA GCLK
CLASS I tco 3.361 3.361 4.770 5.177 5.699 5.556 5.823 5.177 5.699 5.556 5.823 ns
PLL
GCLK tco 2.994 2.982 4.235 4.602 5.076 4.958 5.160 4.602 5.076 4.958 5.160 ns
10mA GCLK
tco 3.350 3.350 4.757 5.164 5.686 5.543 5.810 5.164 5.686 5.543 5.810 ns
PLL
GCLK tco 2.994 2.982 4.234 4.602 5.076 4.958 5.160 4.602 5.076 4.958 5.160 ns
12mA GCLK
tco 3.350 3.350 4.757 5.164 5.686 5.543 5.810 5.164 5.686 5.543 5.810 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.000 2.988 4.233 4.599 5.071 4.953 5.155 4.599 5.071 4.953 5.155 ns
8mA GCLK
tco 3.356 3.356 4.756 5.161 5.681 5.538 5.805 5.161 5.681 5.538 5.805 ns
SSTL-18 PLL
CLASS II GCLK tco 3.003 2.991 4.241 4.608 5.083 4.965 5.167 4.608 5.083 4.965 5.167 ns
16mA GCLK
tco 3.359 3.359 4.764 5.170 5.693 5.550 5.817 5.170 5.693 5.550 5.817 ns
PLL
GCLK tco 3.024 3.012 4.269 4.638 5.113 4.995 5.197 4.638 5.113 4.995 5.197 ns
4mA GCLK
tco 3.380 3.380 4.791 5.200 5.723 5.580 5.847 5.200 5.723 5.580 5.847 ns
PLL
GCLK tco 3.010 2.998 4.258 4.628 5.104 4.986 5.188 4.628 5.104 4.986 5.188 ns
6mA GCLK
tco 3.366 3.366 4.781 5.190 5.714 5.571 5.838 5.190 5.714 5.571 5.838 ns
PLL
GCLK tco 2.999 2.987 4.245 4.614 5.090 4.972 5.174 4.614 5.090 4.972 5.174 ns
SSTL-15
8mA GCLK
CLASS I tco 3.355 3.355 4.767 5.176 5.700 5.557 5.824 5.176 5.700 5.557 5.824 ns
PLL
GCLK tco 2.998 2.986 4.248 4.617 5.094 4.976 5.178 4.617 5.094 4.976 5.178 ns
10mA GCLK
tco 3.354 3.354 4.770 5.179 5.704 5.561 5.828 5.179 5.704 5.561 5.828 ns
PLL
GCLK tco 2.995 2.983 4.242 4.612 5.088 4.970 5.172 4.612 5.088 4.970 5.172 ns
12mA GCLK
tco 3.351 3.351 4.765 5.174 5.698 5.555 5.822 5.174 5.698 5.555 5.822 ns
PLL
GCLK tco 2.997 2.985 4.231 4.598 5.071 4.953 5.155 4.598 5.071 4.953 5.155 ns
8mA GCLK
tco 3.353 3.353 4.754 5.160 5.681 5.538 5.805 5.160 5.681 5.538 5.805 ns
SSTL-15 PLL
CLASS II GCLK tco 3.000 2.988 4.238 4.607 5.082 4.964 5.166 4.607 5.082 4.964 5.166 ns
16mA GCLK
tco 3.356 3.356 4.761 5.169 5.692 5.549 5.816 5.169 5.692 5.549 5.816 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.007 2.995 4.233 4.598 5.069 4.951 5.153 4.598 5.069 4.951 5.153 ns
4mA GCLK
tco 3.363 3.363 4.756 5.160 5.679 5.536 5.803 5.160 5.679 5.536 5.803 ns
PLL
GCLK tco 3.000 2.988 4.231 4.596 5.068 4.950 5.152 4.596 5.068 4.950 5.152 ns
6mA GCLK
tco 3.356 3.356 4.754 5.158 5.678 5.535 5.802 5.158 5.678 5.535 5.802 ns
PLL
1.8-V GCLK tco 2.992 2.980 4.224 4.589 5.061 4.943 5.145 4.589 5.061 4.943 5.145 ns
HSTL 8mA GCLK
CLASS I tco 3.348 3.348 4.746 5.151 5.671 5.528 5.795 5.151 5.671 5.528 5.795 ns
PLL
GCLK tco 2.995 2.983 4.227 4.592 5.065 4.947 5.149 4.592 5.065 4.947 5.149 ns
10mA GCLK
tco 3.351 3.351 4.749 5.154 5.675 5.532 5.799 5.154 5.675 5.532 5.799 ns
PLL
GCLK tco 2.992 2.980 4.229 4.596 5.069 4.951 5.153 4.596 5.069 4.951 5.153 ns
12mA GCLK
tco 3.348 3.348 4.752 5.158 5.679 5.536 5.803 5.158 5.679 5.536 5.803 ns
PLL
1.8-V GCLK tco 3.000 2.988 4.228 4.593 5.065 4.947 5.149 4.593 5.065 4.947 5.149 ns
HSTL 16mA GCLK
CLASS II tco 3.356 3.356 4.751 5.155 5.675 5.532 5.799 5.155 5.675 5.532 5.799 ns
PLL
GCLK tco 3.012 3.000 4.242 4.608 5.080 4.962 5.164 4.608 5.080 4.962 5.164 ns
4mA GCLK
tco 3.368 3.368 4.764 5.170 5.690 5.547 5.814 5.170 5.690 5.547 5.814 ns
PLL
GCLK tco 3.008 2.996 4.243 4.609 5.083 4.965 5.167 4.609 5.083 4.965 5.167 ns
6mA GCLK
tco 3.364 3.364 4.765 5.171 5.693 5.550 5.817 5.171 5.693 5.550 5.817 ns
PLL
1.5-V GCLK tco 3.004 2.992 4.238 4.605 5.078 4.960 5.162 4.605 5.078 4.960 5.162 ns
HSTL 8mA GCLK
CLASS I tco 3.360 3.360 4.761 5.167 5.688 5.545 5.812 5.167 5.688 5.545 5.812 ns
PLL
GCLK tco 2.997 2.985 4.231 4.598 5.071 4.953 5.155 4.598 5.071 4.953 5.155 ns
10mA GCLK
tco 3.353 3.353 4.754 5.160 5.681 5.538 5.805 5.160 5.681 5.538 5.805 ns
PLL
GCLK tco 2.998 2.986 4.238 4.606 5.081 4.963 5.165 4.606 5.081 4.963 5.165 ns
12mA GCLK
tco 3.354 3.354 4.761 5.168 5.691 5.548 5.815 5.168 5.691 5.548 5.815 ns
PLL
1.5-V GCLK tco 2.996 2.984 4.219 4.584 5.055 4.937 5.139 4.584 5.055 4.937 5.139 ns
HSTL 16mA GCLK
CLASS II tco 3.352 3.352 4.742 5.146 5.665 5.522 5.789 5.146 5.665 5.522 5.789 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.015 3.003 4.256 4.625 5.101 4.983 5.185 4.625 5.101 4.983 5.185 ns
4mA GCLK
tco 3.371 3.371 4.778 5.187 5.711 5.568 5.835 5.187 5.711 5.568 5.835 ns
PLL
GCLK tco 3.007 2.995 4.247 4.616 5.092 4.974 5.176 4.616 5.092 4.974 5.176 ns
6mA GCLK
tco 3.363 3.363 4.769 5.178 5.702 5.559 5.826 5.178 5.702 5.559 5.826 ns
PLL
1.2-V GCLK tco 3.008 2.996 4.254 4.624 5.101 4.983 5.185 4.624 5.101 4.983 5.185 ns
HSTL 8mA GCLK
CLASS I tco 3.364 3.364 4.777 5.186 5.711 5.568 5.835 5.186 5.711 5.568 5.835 ns
PLL
GCLK tco 2.997 2.985 4.241 4.611 5.087 4.969 5.171 4.611 5.087 4.969 5.171 ns
10mA GCLK
tco 3.353 3.353 4.764 5.173 5.697 5.554 5.821 5.173 5.697 5.554 5.821 ns
PLL
GCLK tco 2.997 2.985 4.241 4.611 5.088 4.970 5.172 4.611 5.088 4.970 5.172 ns
12mA GCLK
tco 3.353 3.353 4.764 5.173 5.698 5.555 5.822 5.173 5.698 5.555 5.822 ns
PLL
1.2-V GCLK tco 3.018 3.006 4.257 4.626 5.101 4.983 5.185 4.626 5.101 4.983 5.185 ns
HSTL 16mA GCLK
CLASS II tco 3.374 3.374 4.780 5.188 5.711 5.568 5.835 5.188 5.711 5.568 5.835 ns
PLL
GCLK tco 3.121 3.109 4.302 4.660 5.126 5.008 5.210 4.660 5.126 5.008 5.210 ns
3.0-V PCI — GCLK
tco 3.477 3.477 4.825 5.222 5.736 5.593 5.860 5.222 5.736 5.593 5.860 ns
PLL
GCLK tco 3.121 3.109 4.302 4.660 5.126 5.008 5.210 4.660 5.126 5.008 5.210 ns
3.0-V
— GCLK
PCI-X tco 3.477 3.477 4.825 5.222 5.736 5.593 5.860 5.222 5.736 5.593 5.860 ns
PLL
Table 1–44 lists the EP3SL50 row pins output timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.197 3.438 4.781 5.176 5.684 5.549 5.751 5.305 5.818 5.682 5.828 ns
4mA GCLK
tco 1.482 1.677 2.061 2.175 2.372 2.388 2.308 2.295 2.495 2.512 2.303 ns
PLL
GCLK tco 3.104 3.333 4.651 5.038 5.540 5.405 5.607 5.164 5.669 5.533 5.679 ns
3.3-V
8mA GCLK
LVTTL tco 1.415 1.606 1.951 2.037 2.228 2.244 2.164 2.154 2.346 2.363 2.154 ns
PLL
GCLK tco 3.014 3.233 4.532 4.915 5.412 5.277 5.479 5.037 5.537 5.401 5.547 ns
12mA GCLK
tco 1.336 1.517 1.845 1.930 2.100 2.116 2.036 2.046 2.214 2.260 2.022 ns
PLL
GCLK tco 3.207 3.442 4.789 5.181 5.689 5.554 5.756 5.311 5.823 5.687 5.833 ns
4mA GCLK
3.3-V tco 1.492 1.684 2.065 2.180 2.377 2.393 2.313 2.301 2.500 2.517 2.308 ns
PLL
LVCMOS
GCLK tco 3.018 3.237 4.538 4.921 5.418 5.283 5.485 5.043 5.544 5.408 5.554 ns
8mA GCLK
tco 1.340 1.521 1.856 1.945 2.106 2.122 2.042 2.058 2.221 2.269 2.029 ns
PLL
GCLK tco 3.151 3.384 4.733 5.129 5.641 5.506 5.708 5.262 5.776 5.640 5.786 ns
4mA GCLK
tco 1.442 1.638 2.028 2.128 2.329 2.345 2.265 2.252 2.453 2.470 2.261 ns
PLL
3.0-V GCLK tco 3.026 3.257 4.580 4.970 5.477 5.342 5.544 5.100 5.612 5.475 5.621 ns
LVTTL 8mA GCLK
tco 1.341 1.526 1.891 1.969 2.165 2.181 2.101 2.090 2.289 2.305 2.096 ns
PLL
GCLK tco 2.987 3.206 4.498 4.887 5.389 5.254 5.456 5.014 5.519 5.382 5.528 ns
12mA GCLK
tco 1.304 1.488 1.831 1.903 2.077 2.093 2.013 2.016 2.196 2.222 2.003 ns
PLL
GCLK tco 3.065 3.303 4.627 5.022 5.530 5.395 5.597 5.154 5.665 5.528 5.674 ns
4mA GCLK
3.0-V tco 1.363 1.550 1.926 2.021 2.218 2.234 2.154 2.144 2.342 2.358 2.149 ns
PLL
LVCMOS
GCLK tco 2.969 3.188 4.463 4.848 5.350 5.215 5.417 4.974 5.479 5.342 5.488 ns
8mA GCLK
tco 1.291 1.472 1.803 1.874 2.038 2.054 1.974 1.986 2.156 2.193 1.963 ns
PLL
GCLK tco 3.177 3.420 4.865 5.283 5.813 5.678 5.880 5.422 5.955 5.818 5.964 ns
4mA GCLK
tco 1.468 1.675 2.136 2.282 2.501 2.517 2.437 2.412 2.632 2.648 2.439 ns
PLL
2.5 V
GCLK tco 3.067 3.321 4.710 5.120 5.643 5.508 5.710 5.255 5.781 5.644 5.790 ns
8mA GCLK
tco 1.383 1.572 2.012 2.119 2.331 2.347 2.267 2.245 2.458 2.474 2.265 ns
PLL
GCLK tco 3.021 3.245 4.599 5.001 5.517 5.382 5.584 5.132 5.651 5.514 5.660 ns
12mA GCLK
tco 1.326 1.528 1.928 2.015 2.205 2.221 2.141 2.132 2.328 2.354 2.135 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.410 3.667 5.251 5.711 6.288 6.153 6.355 5.859 6.439 6.303 6.449 ns
2mA GCLK
tco 1.695 1.941 2.589 2.710 2.976 2.992 2.912 2.849 3.116 3.133 2.924 ns
PLL
GCLK tco 3.191 3.465 4.924 5.343 5.883 5.748 5.950 5.494 6.033 5.896 6.042 ns
4mA GCLK
tco 1.470 1.739 2.262 2.342 2.571 2.587 2.507 2.484 2.710 2.726 2.517 ns
1.8 V PLL
GCLK tco 3.120 3.363 4.771 5.193 5.724 5.589 5.791 5.326 5.860 5.724 5.870 ns
6mA GCLK
tco 1.405 1.637 2.109 2.192 2.412 2.428 2.348 2.316 2.537 2.554 2.345 ns
PLL
GCLK tco 3.098 3.327 4.698 5.111 5.630 5.494 5.701 5.242 5.766 5.630 5.776 ns
8mA GCLK
tco 1.367 1.563 2.032 2.099 2.316 2.332 2.252 2.221 2.443 2.460 2.251 ns
PLL
GCLK tco 3.321 3.585 5.161 5.625 6.216 6.081 6.283 5.766 6.363 6.227 6.373 ns
2mA GCLK
tco 1.606 1.859 2.499 2.624 2.904 2.920 2.840 2.756 3.040 3.057 2.848 ns
PLL
GCLK tco 3.114 3.344 4.756 5.188 5.725 5.590 5.792 5.320 5.859 5.723 5.869 ns
4mA GCLK
tco 1.383 1.602 2.094 2.187 2.413 2.429 2.349 2.310 2.536 2.553 2.344 ns
1.5 V PLL
GCLK tco 3.087 3.318 4.683 5.103 5.630 5.494 5.701 5.235 5.762 5.627 5.773 ns
6mA GCLK
tco 1.356 1.554 2.021 2.091 2.307 2.323 2.243 2.212 2.431 2.448 2.239 ns
PLL
GCLK tco 3.068 3.307 4.666 5.079 5.611 5.475 5.682 5.211 5.743 5.608 5.754 ns
8mA GCLK
tco 1.337 1.545 1.999 2.073 2.288 2.304 2.224 2.194 2.409 2.426 2.217 ns
PLL
GCLK tco 3.264 3.510 5.071 5.539 6.141 6.006 6.208 5.678 6.279 6.143 6.289 ns
2mA GCLK
1.2 V tco 1.549 1.784 2.409 2.538 2.829 2.845 2.765 2.668 2.956 2.973 2.764 ns
PLL
GCLK tco 3.119 3.348 4.778 5.216 5.766 5.631 5.833 5.345 5.901 5.765 5.911 ns
4mA GCLK
tco 1.388 1.595 2.116 2.215 2.454 2.470 2.390 2.335 2.578 2.595 2.386 ns
PLL
GCLK tco 3.008 3.232 4.577 4.973 5.485 5.350 5.552 5.099 5.613 5.477 5.623 ns
8mA GCLK
SSTL-2 tco 1.330 1.516 1.921 2.006 2.173 2.189 2.109 2.119 2.290 2.340 2.098 ns
PLL
CLASS I
GCLK tco 3.003 3.228 4.571 4.968 5.477 5.342 5.544 5.095 5.606 5.470 5.616 ns
12mA GCLK
tco 1.325 1.512 1.918 2.004 2.166 2.184 2.106 2.118 2.284 2.339 2.096 ns
PLL
GCLK tco 2.994 3.217 4.556 4.952 5.450 5.315 5.517 5.078 5.579 5.452 5.589 ns
SSTL-2
16mA GCLK
CLASS II tco 1.316 1.501 1.903 1.988 2.149 2.167 2.089 2.101 2.266 2.321 2.078 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.047 3.271 4.617 5.015 5.525 5.389 5.596 5.141 5.653 5.518 5.664 ns
4mA GCLK
tco 1.316 1.519 1.927 1.998 2.198 2.216 2.138 2.111 2.316 2.333 2.127 ns
PLL
GCLK tco 3.042 3.266 4.615 5.014 5.524 5.388 5.595 5.139 5.651 5.516 5.662 ns
6mA GCLK
tco 1.311 1.505 1.924 1.997 2.197 2.215 2.137 2.109 2.314 2.331 2.125 ns
PLL
GCLK tco 3.031 3.255 4.605 5.004 5.514 5.378 5.585 5.130 5.642 5.507 5.653 ns
SSTL-18
8mA GCLK
CLASS I tco 1.300 1.493 1.907 1.987 2.187 2.205 2.127 2.100 2.305 2.322 2.116 ns
PLL
GCLK tco 3.020 3.244 4.592 4.991 5.501 5.365 5.572 5.118 5.630 5.495 5.641 ns
10mA GCLK
tco 1.289 1.476 1.891 1.974 2.174 2.192 2.114 2.088 2.293 2.310 2.104 ns
PLL
GCLK tco 3.020 3.243 4.592 4.991 5.501 5.365 5.572 5.117 5.630 5.495 5.641 ns
12mA GCLK
tco 1.289 1.475 1.890 1.974 2.174 2.192 2.114 2.087 2.293 2.310 2.104 ns
PLL
GCLK tco 3.028 3.250 4.591 4.988 5.496 5.360 5.567 5.113 5.624 5.489 5.635 ns
8mA GCLK
SSTL-18 tco 1.297 1.482 1.888 1.971 2.169 2.187 2.109 2.083 2.287 2.304 2.098 ns
PLL
CLASS II
GCLK tco 3.029 3.253 4.597 4.996 5.506 5.370 5.577 5.122 5.635 5.500 5.646 ns
16mA GCLK
tco 1.298 1.485 1.892 1.979 2.179 2.197 2.119 2.092 2.298 2.315 2.109 ns
PLL
GCLK tco 3.050 3.274 4.626 5.026 5.538 5.402 5.609 5.151 5.665 5.530 5.676 ns
4mA GCLK
tco 1.319 1.515 1.938 2.009 2.211 2.229 2.151 2.121 2.328 2.345 2.139 ns
PLL
SSTL-15 GCLK tco 3.036 3.260 4.615 5.016 5.528 5.392 5.599 5.142 5.656 5.521 5.667 ns
CLASS I 6mA GCLK
tco 1.305 1.493 1.920 1.999 2.201 2.219 2.141 2.112 2.319 2.336 2.130 ns
PLL
GCLK tco 3.025 3.248 4.602 5.003 5.515 5.379 5.586 5.129 5.643 5.508 5.654 ns
8mA GCLK
tco 1.294 1.480 1.903 1.986 2.188 2.206 2.128 2.099 2.306 2.323 2.117 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.035 3.256 4.590 4.986 5.494 5.358 5.565 5.112 5.621 5.486 5.632 ns
4mA GCLK
tco 1.304 1.491 1.894 1.969 2.167 2.185 2.107 2.082 2.284 2.301 2.095 ns
PLL
GCLK tco 3.028 3.250 4.588 4.985 5.493 5.357 5.564 5.111 5.621 5.486 5.632 ns
6mA GCLK
tco 1.297 1.482 1.885 1.968 2.166 2.184 2.106 2.081 2.284 2.301 2.095 ns
PLL
1.8-V
HSTL
GCLK tco 3.019 3.242 4.581 4.978 5.486 5.350 5.557 5.104 5.614 5.479 5.625 ns
CLASS I 8mA GCLK
tco 1.288 1.474 1.877 1.961 2.159 2.177 2.099 2.074 2.277 2.294 2.088 ns
PLL
GCLK tco 3.022 3.244 4.584 4.981 5.490 5.354 5.561 5.107 5.617 5.482 5.628 ns
10mA GCLK
tco 1.291 1.476 1.879 1.964 2.163 2.181 2.103 2.077 2.280 2.297 2.091 ns
PLL
GCLK tco 3.018 3.241 4.586 4.984 5.493 5.357 5.564 5.110 5.622 5.487 5.633 ns
12mA GCLK
tco 1.287 1.473 1.881 1.967 2.166 2.184 2.106 2.080 2.285 2.302 2.096 ns
PLL
1.8-V GCLK tco 3.026 3.249 4.584 4.981 5.489 5.353 5.560 5.106 5.616 5.481 5.627 ns
HSTL 16mA GCLK
CLASS II tco 1.295 1.481 1.879 1.964 2.162 2.180 2.102 2.076 2.279 2.296 2.090 ns
PLL
GCLK tco 3.041 3.262 4.599 4.996 5.505 5.369 5.576 5.121 5.632 5.497 5.643 ns
4mA GCLK
tco 1.310 1.498 1.905 1.979 2.178 2.196 2.118 2.091 2.295 2.312 2.106 ns
PLL
1.5-V
GCLK tco 3.035 3.257 4.600 4.998 5.507 5.371 5.578 5.123 5.635 5.500 5.646 ns
HSTL
CLASS I 6mA GCLK
tco 1.304 1.489 1.901 1.981 2.180 2.198 2.120 2.093 2.298 2.315 2.109 ns
PLL
GCLK tco 3.031 3.253 4.595 4.993 5.502 5.366 5.573 5.118 5.629 5.494 5.640 ns
8mA GCLK
tco 1.300 1.485 1.895 1.976 2.175 2.193 2.115 2.088 2.292 2.309 2.103 ns
PLL
GCLK tco 3.043 3.264 4.612 5.012 5.524 5.388 5.595 5.137 5.651 5.516 5.662 ns
4mA GCLK
tco 1.312 1.497 1.916 1.995 2.197 2.215 2.137 2.107 2.314 2.331 2.125 ns
PLL
1.2-V
HSTL
GCLK tco 3.034 3.256 4.603 5.003 5.515 5.379 5.586 5.128 5.642 5.507 5.653 ns
CLASS I 6mA GCLK
tco 1.303 1.488 1.905 1.986 2.188 2.206 2.128 2.098 2.305 2.322 2.116 ns
PLL
GCLK tco 3.033 3.256 4.610 5.011 5.524 5.388 5.595 5.137 5.652 5.517 5.663 ns
8mA GCLK
tco 1.302 1.488 1.909 1.994 2.197 2.215 2.137 2.107 2.315 2.332 2.126 ns
PLL
GCLK tco 3.114 3.338 4.626 5.016 5.494 5.359 5.561 5.144 5.625 5.513 5.635 ns
3.0-V PCI — GCLK
tco 1.436 1.622 1.973 2.052 2.208 2.226 2.148 2.167 2.327 2.382 2.139 ns
PLL
GCLK tco 3.114 3.338 4.626 5.016 5.494 5.359 5.561 5.144 5.625 5.513 5.635 ns
3.0-V
— GCLK
PCI-X tco 1.436 1.622 1.973 2.052 2.208 2.226 2.148 2.167 2.327 2.382 2.139 ns
PLL
Table 1–45 through Table 1–48 list the maximum I/O timing parameters for EP3SL50
devices for differential I/O standards.
Table 1–45 lists the EP3SL50 column pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
GCLK
th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
LVDS
GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
GCLK
th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
MINI-LVDS
GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
GCLK
th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
RSDS
GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
DIFFERENTIAL GCLK
th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
1.2-V HSTL
CLASS I GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
GCLK
DIFFERENTIAL th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.2-V HSTL
CLASS II GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
DIFFERENTIAL GCLK
th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.5-V HSTL
CLASS I GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
DIFFERENTIAL GCLK
th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
1.5-V HSTL
CLASS II GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
GCLK
DIFFERENTIAL th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
1.8-V HSTL
CLASS I GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
DIFFERENTIAL GCLK
th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.8-V HSTL
CLASS II GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
DIFFERENTIAL GCLK
th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.5-V SSTL
CLASS I GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.724 -0.746 -1.103 -1.209 -1.329 -1.274 -1.558 -1.209 -1.324 -1.273 -1.591 ns
GCLK
DIFFERENTIAL th 0.841 0.878 1.290 1.419 1.560 1.492 1.779 1.427 1.565 1.501 1.811 ns
1.5-V SSTL
CLASS II GCLK tsu 1.116 1.130 1.790 2.026 2.244 2.129 2.138 2.037 2.260 2.142 2.190 ns
PLL th -0.865 -0.864 -1.391 -1.576 -1.743 -1.658 -1.657 -1.579 -1.749 -1.662 -1.708 ns
tsu -0.724 -0.746 -1.103 -1.209 -1.329 -1.274 -1.558 -1.209 -1.324 -1.273 -1.591 ns
DIFFERENTIAL GCLK
th 0.841 0.878 1.290 1.419 1.560 1.492 1.779 1.427 1.565 1.501 1.811 ns
1.8-V SSTL
CLASS I GCLK tsu 1.116 1.130 1.790 2.026 2.244 2.129 2.138 2.037 2.260 2.142 2.190 ns
PLL th -0.865 -0.864 -1.391 -1.576 -1.743 -1.658 -1.657 -1.579 -1.749 -1.662 -1.708 ns
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
DIFFERENTIAL GCLK
th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
1.8-V SSTL
CLASS II GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
GCLK
DIFFERENTIAL th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
2.5-V SSTL
CLASS I GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
DIFFERENTIAL GCLK
th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
2.5-V SSTL
CLASS II GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
Table 1–46 lists the EP3SL50 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.919 -0.939 -0.988 -0.952 -1.089 -1.040 -1.316 -0.916 -1.048 -1.000 -1.349 ns
GCLK
th 1.042 1.077 1.209 1.205 1.369 1.306 1.580 1.182 1.339 1.280 1.613 ns
LVDS
GCLK tsu 0.882 0.896 1.863 2.243 2.446 2.322 2.342 2.291 2.500 2.376 2.393 ns
PLL th -0.625 -0.625 -1.430 -1.749 -1.896 -1.802 -1.817 -1.783 -1.936 -1.843 -1.865 ns
tsu -0.919 -0.939 -0.988 -0.952 -1.089 -1.040 -1.316 -0.916 -1.048 -1.000 -1.349 ns
GCLK
th 1.042 1.077 1.209 1.205 1.369 1.306 1.580 1.182 1.339 1.280 1.613 ns
MINI-LVDS
GCLK tsu 0.882 0.896 1.863 2.243 2.446 2.322 2.342 2.291 2.500 2.376 2.393 ns
PLL th -0.625 -0.625 -1.430 -1.749 -1.896 -1.802 -1.817 -1.783 -1.936 -1.843 -1.865 ns
tsu -0.919 -0.939 -0.988 -0.952 -1.089 -1.040 -1.316 -0.916 -1.048 -1.000 -1.349 ns
GCLK
th 1.042 1.077 1.209 1.205 1.369 1.306 1.580 1.182 1.339 1.280 1.613 ns
RSDS
GCLK tsu 0.882 0.896 1.863 2.243 2.446 2.322 2.342 2.291 2.500 2.376 2.393 ns
PLL th -0.625 -0.625 -1.430 -1.749 -1.896 -1.802 -1.817 -1.783 -1.936 -1.843 -1.865 ns
tsu -0.734 -0.764 -1.085 -1.186 -1.286 -1.234 -1.505 -1.193 -1.291 -1.242 -1.543 ns
GCLK
DIFFERENTIAL th 0.850 0.893 1.274 1.394 1.516 1.451 1.720 1.410 1.531 1.470 1.758 ns
1.2-V
HSTL CLASS I GCLK tsu 1.077 1.081 1.776 2.019 2.257 2.138 2.163 2.024 2.263 2.144 2.209 ns
PLL th -0.827 -0.819 -1.375 -1.570 -1.756 -1.667 -1.687 -1.565 -1.751 -1.663 -1.730 ns
tsu -0.734 -0.764 -1.085 -1.186 -1.286 -1.234 -1.505 -1.193 -1.291 -1.242 -1.543 ns
GCLK
DIFFERENTIAL th 0.850 0.893 1.274 1.394 1.516 1.451 1.720 1.410 1.531 1.470 1.758 ns
1.2-V
HSTL CLASS II GCLK tsu 1.077 1.081 1.776 2.019 2.257 2.138 2.163 2.024 2.263 2.144 2.209 ns
PLL th -0.827 -0.819 -1.375 -1.570 -1.756 -1.667 -1.687 -1.565 -1.751 -1.663 -1.730 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
HSTL CLASS I GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
HSTL CLASS II GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
HSTL CLASS I GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
HSTL CLASS II GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
SSTL CLASS I GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
SSTL CLASS II GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
SSTL CLASS I GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
SSTL CLASS II GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
tsu -0.756 -0.787 -1.112 -1.212 -1.321 -1.270 -1.540 -1.214 -1.320 -1.273 -1.573 ns
GCLK
DIFFERENTIAL th 0.872 0.916 1.300 1.422 1.554 1.489 1.759 1.434 1.563 1.502 1.792 ns
2.5-V
SSTL CLASS I GCLK tsu 1.045 1.048 1.739 1.983 2.212 2.092 2.118 1.993 2.224 2.103 2.169 ns
PLL th -0.795 -0.786 -1.339 -1.532 -1.708 -1.619 -1.638 -1.531 -1.709 -1.621 -1.686 ns
tsu -0.756 -0.787 -1.112 -1.212 -1.321 -1.270 -1.540 -1.214 -1.320 -1.273 -1.573 ns
GCLK
DIFFERENTIAL th 0.872 0.916 1.300 1.422 1.554 1.489 1.759 1.434 1.563 1.502 1.792 ns
2.5-V
SSTL CLASS II GCLK tsu 1.045 1.048 1.739 1.983 2.212 2.092 2.118 1.993 2.224 2.103 2.169 ns
PLL th -0.795 -0.786 -1.339 -1.532 -1.708 -1.619 -1.638 -1.531 -1.709 -1.621 -1.686 ns
Table 1–47 lists the EP3SL50 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock
VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Units
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
LVDS_E_1R — GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
LVDS_E_3R — GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
MINI-
— GCLK
LVDS_E_1R tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.056 3.279 4.646 5.054 5.571 5.431 5.649 5.181 5.698 5.560 5.717 ns
MINI-
— GCLK
LVDS_E_3R tco 3.046 3.269 4.636 5.043 5.561 5.421 5.639 5.170 5.688 5.550 5.707 ns
PLL
GCLK tco 3.046 3.269 4.639 5.047 5.565 5.425 5.643 5.175 5.693 5.555 5.712 ns
RSDS_E_1R — GCLK
tco 3.039 3.263 4.632 5.041 5.559 5.419 5.637 5.168 5.687 5.549 5.706 ns
PLL
GCLK tco 3.038 3.261 4.629 5.038 5.556 5.416 5.634 5.165 5.683 5.545 5.702 ns
RSDS_E_3R — GCLK
tco 3.060 3.283 4.650 5.058 5.575 5.435 5.653 5.185 5.703 5.565 5.722 ns
PLL
GCLK tco 3.050 3.272 4.629 5.035 5.550 5.410 5.628 5.161 5.676 5.538 5.695 ns
4mA GCLK
tco 3.045 3.268 4.629 5.035 5.551 5.411 5.629 5.162 5.678 5.540 5.697 ns
PLL
GCLK tco 3.043 3.266 4.628 5.034 5.549 5.409 5.627 5.161 5.677 5.539 5.696 ns
6mA GCLK
tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
DIFFERENTIAL
GCLK tco 3.036 3.259 4.624 5.031 5.548 5.408 5.626 5.159 5.676 5.538 5.695 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 3.035 3.256 4.607 5.012 5.526 5.386 5.604 5.138 5.652 5.514 5.671 ns
PLL
GCLK tco 3.047 3.269 4.625 5.030 5.544 5.404 5.622 5.157 5.671 5.533 5.690 ns
10mA GCLK
tco 3.043 3.266 4.626 5.032 5.548 5.408 5.626 5.159 5.675 5.537 5.694 ns
PLL
GCLK tco 3.033 3.255 4.615 5.021 5.536 5.396 5.614 5.148 5.663 5.525 5.682 ns
12mA GCLK
tco 3.031 3.253 4.613 5.018 5.534 5.394 5.612 5.146 5.661 5.523 5.680 ns
PLL
DIFFERENTIAL GCLK tco 3.031 3.254 4.616 5.023 5.539 5.399 5.617 5.150 5.667 5.529 5.686 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 3.035 3.257 4.613 5.018 5.533 5.393 5.611 5.145 5.660 5.522 5.679 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.061 3.286 4.658 5.066 5.583 5.443 5.661 5.193 5.710 5.572 5.729 ns
4mA GCLK
tco 3.047 3.272 4.646 5.055 5.573 5.433 5.651 5.183 5.701 5.563 5.720 ns
PLL
GCLK tco 3.035 3.259 4.629 5.037 5.555 5.415 5.633 5.165 5.683 5.545 5.702 ns
6mA GCLK
tco 3.035 3.259 4.632 5.041 5.559 5.419 5.637 5.169 5.688 5.550 5.707 ns
PLL
DIFFERENTIAL GCLK tco 3.031 3.255 4.625 5.033 5.552 5.412 5.630 5.162 5.680 5.542 5.699 ns
1.5-V HSTL 8mA GCLK
CLASS I tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
GCLK tco 3.036 3.259 4.626 5.034 5.551 5.411 5.629 5.161 5.679 5.541 5.698 ns
10mA GCLK
tco 3.064 3.289 4.657 5.064 5.581 5.441 5.659 5.192 5.708 5.570 5.727 ns
PLL
GCLK tco 3.053 3.277 4.645 5.052 5.569 5.429 5.647 5.180 5.696 5.558 5.715 ns
12mA GCLK
tco 3.048 3.273 4.645 5.053 5.570 5.430 5.648 5.181 5.698 5.560 5.717 ns
PLL
DIFFERENTIAL GCLK tco 3.034 3.258 4.627 5.034 5.551 5.411 5.629 5.162 5.680 5.542 5.699 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 3.032 3.256 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
GCLK tco 3.036 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
4mA GCLK
tco 3.036 3.259 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
GCLK tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
6mA GCLK
tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
PLL
DIFFERENTIAL GCLK tco 3.042 3.266 4.631 5.037 5.553 5.413 5.631 5.165 5.681 5.543 5.700 ns
1.8-V HSTL 8mA GCLK
CLASS I tco 3.035 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
10mA GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
12mA GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
DIFFERENTIAL GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.056 3.279 4.646 5.054 5.571 5.431 5.649 5.181 5.698 5.560 5.717 ns
4mA GCLK
tco 3.046 3.269 4.636 5.043 5.561 5.421 5.639 5.170 5.688 5.550 5.707 ns
PLL
GCLK tco 3.046 3.269 4.639 5.047 5.565 5.425 5.643 5.175 5.693 5.555 5.712 ns
6mA GCLK
tco 3.039 3.263 4.632 5.041 5.559 5.419 5.637 5.168 5.687 5.549 5.706 ns
PLL
DIFFERENTIAL
1.5-V SSTL
GCLK tco 3.038 3.261 4.629 5.038 5.556 5.416 5.634 5.165 5.683 5.545 5.702 ns
CLASS I 8mA GCLK
tco 3.060 3.283 4.650 5.058 5.575 5.435 5.653 5.185 5.703 5.565 5.722 ns
PLL
GCLK tco 3.050 3.272 4.629 5.035 5.550 5.410 5.628 5.161 5.676 5.538 5.695 ns
10mA GCLK
tco 3.045 3.268 4.629 5.035 5.551 5.411 5.629 5.162 5.678 5.540 5.697 ns
PLL
GCLK tco 3.043 3.266 4.628 5.034 5.549 5.409 5.627 5.161 5.677 5.539 5.696 ns
12mA GCLK
tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
GCLK tco 3.036 3.259 4.624 5.031 5.548 5.408 5.626 5.159 5.676 5.538 5.695 ns
DIFFERENTIAL 8mA GCLK
tco 3.035 3.256 4.607 5.012 5.526 5.386 5.604 5.138 5.652 5.514 5.671 ns
1.5-V SSTL PLL
CLASS II GCLK tco 3.047 3.269 4.625 5.030 5.544 5.404 5.622 5.157 5.671 5.533 5.690 ns
16mA GCLK
tco 3.043 3.266 4.626 5.032 5.548 5.408 5.626 5.159 5.675 5.537 5.694 ns
PLL
GCLK tco 3.033 3.255 4.615 5.021 5.536 5.396 5.614 5.148 5.663 5.525 5.682 ns
4mA GCLK
tco 3.031 3.253 4.613 5.018 5.534 5.394 5.612 5.146 5.661 5.523 5.680 ns
PLL
GCLK tco 3.031 3.254 4.616 5.023 5.539 5.399 5.617 5.150 5.667 5.529 5.686 ns
6mA GCLK
tco 3.035 3.257 4.613 5.018 5.533 5.393 5.611 5.145 5.660 5.522 5.679 ns
PLL
DIFFERENTIAL
GCLK tco 3.061 3.286 4.658 5.066 5.583 5.443 5.661 5.193 5.710 5.572 5.729 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 3.047 3.272 4.646 5.055 5.573 5.433 5.651 5.183 5.701 5.563 5.720 ns
PLL
GCLK tco 3.035 3.259 4.629 5.037 5.555 5.415 5.633 5.165 5.683 5.545 5.702 ns
10mA GCLK
tco 3.035 3.259 4.632 5.041 5.559 5.419 5.637 5.169 5.688 5.550 5.707 ns
PLL
GCLK tco 3.031 3.255 4.625 5.033 5.552 5.412 5.630 5.162 5.680 5.542 5.699 ns
12mA GCLK
tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
GCLK tco 3.036 3.259 4.626 5.034 5.551 5.411 5.629 5.161 5.679 5.541 5.698 ns
DIFFERENTIAL 8mA GCLK
1.8-V SSTL tco 3.064 3.289 4.657 5.064 5.581 5.441 5.659 5.192 5.708 5.570 5.727 ns
PLL
CLASS II GCLK tco 3.053 3.277 4.645 5.052 5.569 5.429 5.647 5.180 5.696 5.558 5.715 ns
16mA GCLK
tco 3.048 3.273 4.645 5.053 5.570 5.430 5.648 5.181 5.698 5.560 5.717 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.034 3.258 4.627 5.034 5.551 5.411 5.629 5.162 5.680 5.542 5.699 ns
8mA GCLK
tco 3.032 3.256 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
DIFFERENTIAL
GCLK tco 3.036 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
2.5-V SSTL
CLASS I 10mA GCLK
tco 3.036 3.259 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
GCLK tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
12mA GCLK
tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
PLL
DIFFERENTIAL GCLK tco 3.042 3.266 4.631 5.037 5.553 5.413 5.631 5.165 5.681 5.543 5.700 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 3.035 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
PLL
Table 1–48 lists the EP3SL50 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.082 3.315 4.710 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
RSDS_E_1R — GCLK
tco 3.093 3.325 4.711 5.124 5.648 5.504 5.703 5.257 5.785 5.641 5.770 ns
PLL
GCLK tco 3.083 3.316 4.709 5.122 5.647 5.503 5.702 5.257 5.785 5.641 5.770 ns
RSDS_E_3R — GCLK
tco 3.069 3.302 4.694 5.107 5.633 5.489 5.688 5.242 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 3.066 3.298 4.690 5.103 5.629 5.485 5.684 5.238 5.766 5.622 5.751 ns
1.2-V 4mA GCLK
HSTL CLASS I tco 3.063 3.296 4.691 5.106 5.632 5.488 5.687 5.241 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 3.064 3.296 4.681 5.094 5.619 5.475 5.674 5.228 5.756 5.612 5.741 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 3.113 3.349 4.752 5.167 5.695 5.551 5.750 5.302 5.832 5.688 5.817 ns
PLL
DIFFERENTIAL GCLK tco 3.089 3.325 4.734 5.150 5.678 5.534 5.733 5.285 5.817 5.673 5.802 ns
1.2-V 8mA GCLK
HSTL CLASS I tco 3.071 3.306 4.712 5.128 5.656 5.512 5.711 5.263 5.795 5.651 5.780 ns
PLL
DIFFERENTIAL GCLK tco 3.117 3.352 4.752 5.167 5.694 5.550 5.749 5.302 5.832 5.688 5.817 ns
1.5-V 4mA GCLK
HSTL CLASS I tco 3.102 3.337 4.738 5.152 5.679 5.535 5.734 5.287 5.817 5.673 5.802 ns
PLL
DIFFERENTIAL GCLK tco 3.091 3.326 4.733 5.149 5.676 5.532 5.731 5.284 5.815 5.671 5.800 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 3.071 3.306 4.710 5.125 5.653 5.509 5.708 5.261 5.791 5.647 5.776 ns
PLL
DIFFERENTIAL GCLK tco 3.068 3.302 4.706 5.122 5.649 5.505 5.704 5.257 5.788 5.644 5.773 ns
1.5-V 8mA GCLK
HSTL CLASS I tco 3.073 3.306 4.697 5.110 5.635 5.491 5.690 5.244 5.772 5.628 5.757 ns
PLL
DIFFERENTIAL GCLK tco 3.066 3.299 4.696 5.111 5.638 5.494 5.693 5.247 5.777 5.633 5.762 ns
1.8-V 4mA GCLK
HSTL CLASS I tco 3.094 3.328 4.724 5.138 5.664 5.520 5.719 5.273 5.802 5.658 5.787 ns
PLL
DIFFERENTIAL GCLK tco 3.076 3.311 4.709 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
1.8-V 6mA GCLK
HSTL CLASS I tco 3.062 3.295 4.686 5.099 5.624 5.480 5.679 5.234 5.762 5.618 5.747 ns
PLL
DIFFERENTIAL GCLK tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
1.8-V 8mA GCLK
HSTL CLASS I tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
PLL
DIFFERENTIAL GCLK tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
1.8-V 10mA GCLK
HSTL CLASS I tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
PLL
DIFFERENTIAL GCLK tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
1.8-V 12mA GCLK
HSTL CLASS I tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
DIFFERENTIAL GCLK tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
1.8-V 16mA GCLK
HSTL CLASS II tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
PLL
DIFFERENTIAL GCLK tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
1.5-V 4mA GCLK
SSTL CLASS I tco 3.098 3.331 4.730 5.145 5.672 5.528 5.727 5.280 5.809 5.665 5.794 ns
PLL
DIFFERENTIAL GCLK tco 3.084 3.317 4.717 5.132 5.659 5.515 5.714 5.266 5.796 5.652 5.781 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 3.080 3.313 4.715 5.132 5.660 5.516 5.715 5.266 5.798 5.654 5.783 ns
PLL
DIFFERENTIAL GCLK tco 3.096 3.328 4.716 5.129 5.654 5.510 5.709 5.263 5.791 5.647 5.776 ns
1.5-V 8mA GCLK
SSTL CLASS I tco 3.085 3.318 4.712 5.125 5.651 5.507 5.706 5.260 5.788 5.644 5.773 ns
PLL
DIFFERENTIAL GCLK tco 3.082 3.315 4.710 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
1.8-V 4mA GCLK
SSTL CLASS I tco 3.093 3.325 4.711 5.124 5.648 5.504 5.703 5.257 5.785 5.641 5.770 ns
PLL
DIFFERENTIAL GCLK tco 3.083 3.316 4.709 5.122 5.647 5.503 5.702 5.257 5.785 5.641 5.770 ns
1.8-V 6mA GCLK
SSTL CLASS I tco 3.069 3.302 4.694 5.107 5.633 5.489 5.688 5.242 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 3.066 3.298 4.690 5.103 5.629 5.485 5.684 5.238 5.766 5.622 5.751 ns
1.8-V 8mA GCLK
SSTL CLASS I tco 3.063 3.296 4.691 5.106 5.632 5.488 5.687 5.241 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 3.064 3.296 4.681 5.094 5.619 5.475 5.674 5.228 5.756 5.612 5.741 ns
1.8-V 10mA GCLK
SSTL CLASS I tco 3.113 3.349 4.752 5.167 5.695 5.551 5.750 5.302 5.832 5.688 5.817 ns
PLL
DIFFERENTIAL GCLK tco 3.089 3.325 4.734 5.150 5.678 5.534 5.733 5.285 5.817 5.673 5.802 ns
1.8-V 12mA GCLK
SSTL CLASS I tco 3.071 3.306 4.712 5.128 5.656 5.512 5.711 5.263 5.795 5.651 5.780 ns
PLL
DIFFERENTIAL GCLK tco 3.117 3.352 4.752 5.167 5.694 5.550 5.749 5.302 5.832 5.688 5.817 ns
1.8-V 8mA GCLK
SSTL CLASS II tco 3.102 3.337 4.738 5.152 5.679 5.535 5.734 5.287 5.817 5.673 5.802 ns
PLL
DIFFERENTIAL GCLK tco 3.091 3.326 4.733 5.149 5.676 5.532 5.731 5.284 5.815 5.671 5.800 ns
1.8-V 16mA GCLK
SSTL CLASS II tco 3.071 3.306 4.710 5.125 5.653 5.509 5.708 5.261 5.791 5.647 5.776 ns
PLL
DIFFERENTIAL GCLK tco 3.068 3.302 4.706 5.122 5.649 5.505 5.704 5.257 5.788 5.644 5.773 ns
2.5-V 8mA GCLK
SSTL CLASS I tco 3.073 3.306 4.697 5.110 5.635 5.491 5.690 5.244 5.772 5.628 5.757 ns
PLL
DIFFERENTIAL GCLK tco 3.066 3.299 4.696 5.111 5.638 5.494 5.693 5.247 5.777 5.633 5.762 ns
2.5-V 12mA GCLK
SSTL CLASS I tco 3.094 3.328 4.724 5.138 5.664 5.520 5.719 5.273 5.802 5.658 5.787 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
DIFFERENTIAL GCLK tco 3.076 3.311 4.709 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
2.5-V 16mA GCLK
SSTL CLASS II tco 3.062 3.295 4.686 5.099 5.624 5.480 5.679 5.234 5.762 5.618 5.747 ns
PLL
Table 1–49 and Table 1–50 list the EP3SL50 regional clock (RCLK) adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–49 lists the EP3SL50 column pin delay adders when using the regional clock.
Table 1–49. EP3SL50 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.239 0.258 0.341 0.365 0.39 0.377 0.439 0.375 0.399 0.388 0.441 ns
RCLK PLL input adder 0.008 0.009 0.014 0.017 0.019 0.017 0.02 0.018 0.019 0.017 0.02 ns
RCLK output adder -0.068 -0.07 -0.09 -0.092 -0.094 -0.091 -0.169 -0.086 -0.087 -0.09 -0.17 ns
RCLK PLL output adder 1.614 1.649 2.575 2.89 3.164 3.011 3.22 2.908 3.217 3.063 3.338 ns
Table 1–50 lists the EP3SL50 row pin delay adders when using the regional clock.
Table 1–50. EP3SL50 Row Pin Delay Adders for Regional Clock
tsu -0.812 -0.811 -1.154 -1.261 -1.312 -1.266 -1.645 -1.261 -1.312 -1.266 -1.645 ns
GCLK
th 0.934 0.934 1.327 1.457 1.533 1.475 1.850 1.457 1.533 1.475 1.850 ns
3.3-V LVTTL
GCLK tsu -0.965 -0.965 -1.395 -1.522 -1.763 -1.703 -2.094 -1.522 -1.763 -1.703 -2.094 ns
PLL th 1.216 1.216 1.764 1.937 2.222 2.138 2.540 1.937 2.222 2.138 2.540 ns
tsu -0.812 -0.811 -1.154 -1.261 -1.312 -1.266 -1.645 -1.261 -1.312 -1.266 -1.645 ns
GCLK
3.3-V th 0.934 0.934 1.327 1.457 1.533 1.475 1.850 1.457 1.533 1.475 1.850 ns
LVCMOS tsu -0.965 -0.965 -1.395 -1.522 -1.763 -1.703 -2.094 -1.522 -1.763 -1.703 -2.094 ns
GCLK
PLL th 1.216 1.216 1.764 1.937 2.222 2.138 2.540 1.937 2.222 2.138 2.540 ns
tsu -0.823 -0.822 -1.153 -1.263 -1.311 -1.265 -1.644 -1.263 -1.311 -1.265 -1.644 ns
GCLK
th 0.945 0.945 1.326 1.459 1.532 1.474 1.849 1.459 1.532 1.474 1.849 ns
3.0-V LVTTL
GCLK tsu -0.976 -0.976 -1.394 -1.524 -1.762 -1.702 -2.093 -1.524 -1.762 -1.702 -2.093 ns
PLL th 1.227 1.227 1.763 1.939 2.221 2.137 2.539 1.939 2.221 2.137 2.539 ns
tsu -0.823 -0.822 -1.153 -1.263 -1.311 -1.265 -1.644 -1.263 -1.311 -1.265 -1.644 ns
GCLK
3.0-V th 0.945 0.945 1.326 1.459 1.532 1.474 1.849 1.459 1.532 1.474 1.849 ns
LVCMOS tsu -0.976 -0.976 -1.394 -1.524 -1.762 -1.702 -2.093 -1.524 -1.762 -1.702 -2.093 ns
GCLK
PLL th 1.227 1.227 1.763 1.939 2.221 2.137 2.539 1.939 2.221 2.137 2.539 ns
tsu -0.818 -0.817 -1.162 -1.275 -1.330 -1.284 -1.663 -1.275 -1.330 -1.284 -1.663 ns
GCLK
th 0.940 0.940 1.335 1.471 1.551 1.493 1.868 1.471 1.551 1.493 1.868 ns
2.5 V
GCLK tsu -0.971 -0.971 -1.403 -1.536 -1.781 -1.721 -2.112 -1.536 -1.781 -1.721 -2.112 ns
PLL th 1.222 1.222 1.772 1.951 2.240 2.156 2.558 1.951 2.240 2.156 2.558 ns
tsu -0.840 -0.839 -1.202 -1.311 -1.328 -1.282 -1.661 -1.311 -1.328 -1.282 -1.661 ns
GCLK
th 0.964 0.964 1.375 1.507 1.549 1.491 1.866 1.507 1.549 1.491 1.866 ns
1.8 V
GCLK tsu -0.993 -0.993 -1.443 -1.572 -1.779 -1.719 -2.110 -1.572 -1.779 -1.719 -2.110 ns
PLL th 1.246 1.246 1.812 1.987 2.238 2.154 2.556 1.987 2.238 2.154 2.556 ns
tsu -0.830 -0.829 -1.179 -1.279 -1.258 -1.212 -1.591 -1.279 -1.258 -1.212 -1.591 ns
GCLK
th 0.954 0.954 1.352 1.475 1.479 1.421 1.796 1.475 1.479 1.421 1.796 ns
1.5 V
GCLK tsu -0.983 -0.983 -1.420 -1.540 -1.709 -1.649 -2.040 -1.540 -1.709 -1.649 -2.040 ns
PLL th 1.236 1.236 1.789 1.955 2.168 2.084 2.486 1.955 2.168 2.084 2.486 ns
tsu -0.778 -0.777 -1.102 -1.180 -1.102 -1.056 -1.435 -1.180 -1.102 -1.056 -1.435 ns
GCLK
th 0.902 0.902 1.275 1.376 1.323 1.265 1.640 1.376 1.323 1.265 1.640 ns
1.2 V
GCLK tsu -0.931 -0.931 -1.343 -1.441 -1.553 -1.493 -1.884 -1.441 -1.553 -1.493 -1.884 ns
PLL th 1.184 1.184 1.712 1.856 2.012 1.928 2.330 1.856 2.012 1.928 2.330 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard Industrial Commercial
VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.749 -0.748 -1.074 -1.164 -1.104 -1.058 -1.437 -1.164 -1.104 -1.058 -1.437 ns
GCLK
SSTL-2 th 0.873 0.873 1.247 1.360 1.325 1.267 1.642 1.360 1.325 1.267 1.642 ns
CLASS I tsu -0.902 -0.902 -1.315 -1.425 -1.555 -1.495 -1.886 -1.425 -1.555 -1.495 -1.886 ns
GCLK
PLL th 1.155 1.155 1.684 1.840 2.014 1.930 2.332 1.840 2.014 1.930 2.332 ns
tsu -0.749 -0.748 -1.074 -1.164 -1.104 -1.058 -1.437 -1.164 -1.104 -1.058 -1.437 ns
GCLK
SSTL-2 th 0.873 0.873 1.247 1.360 1.325 1.267 1.642 1.360 1.325 1.267 1.642 ns
CLASS II tsu -0.902 -0.902 -1.315 -1.425 -1.555 -1.495 -1.886 -1.425 -1.555 -1.495 -1.886 ns
GCLK
PLL th 1.155 1.155 1.684 1.840 2.014 1.930 2.332 1.840 2.014 1.930 2.332 ns
tsu -0.743 -0.742 -1.061 -1.156 -1.104 -1.056 -1.435 -1.156 -1.104 -1.056 -1.435 ns
GCLK
SSTL-18 th 0.867 0.867 1.234 1.349 1.322 1.264 1.635 1.349 1.322 1.264 1.635 ns
CLASS I tsu -0.896 -0.896 -1.302 -1.417 -1.552 -1.490 -1.884 -1.417 -1.552 -1.490 -1.884 ns
GCLK
PLL th 1.149 1.149 1.671 1.829 2.008 1.924 2.325 1.829 2.008 1.924 2.325 ns
tsu -0.743 -0.742 -1.061 -1.156 -1.104 -1.056 -1.435 -1.156 -1.104 -1.056 -1.435 ns
GCLK
SSTL-18 th 0.867 0.867 1.234 1.349 1.322 1.264 1.635 1.349 1.322 1.264 1.635 ns
CLASS II tsu -0.896 -0.896 -1.302 -1.417 -1.552 -1.490 -1.884 -1.417 -1.552 -1.490 -1.884 ns
GCLK
PLL th 1.149 1.149 1.671 1.829 2.008 1.924 2.325 1.829 2.008 1.924 2.325 ns
tsu -0.732 -0.731 -1.050 -1.145 -1.085 -1.037 -1.416 -1.145 -1.085 -1.037 -1.416 ns
GCLK
SSTL-15 th 0.856 0.856 1.222 1.338 1.303 1.245 1.616 1.338 1.303 1.245 1.616 ns
CLASS I tsu -0.885 -0.885 -1.291 -1.406 -1.533 -1.471 -1.865 -1.406 -1.533 -1.471 -1.865 ns
GCLK
PLL th 1.138 1.138 1.659 1.818 1.989 1.905 2.306 1.818 1.989 1.905 2.306 ns
tsu -0.732 -0.731 -1.050 -1.145 -1.085 -1.037 -1.416 -1.145 -1.085 -1.037 -1.416 ns
GCLK
1.8-V HSTL th 0.856 0.856 1.222 1.338 1.303 1.245 1.616 1.338 1.303 1.245 1.616 ns
CLASS I tsu -0.885 -0.885 -1.291 -1.406 -1.533 -1.471 -1.865 -1.406 -1.533 -1.471 -1.865 ns
GCLK
PLL th 1.138 1.138 1.659 1.818 1.989 1.905 2.306 1.818 1.989 1.905 2.306 ns
tsu -0.743 -0.742 -1.061 -1.156 -1.104 -1.056 -1.435 -1.156 -1.104 -1.056 -1.435 ns
GCLK
1.8-V HSTL th 0.867 0.867 1.234 1.349 1.322 1.264 1.635 1.349 1.322 1.264 1.635 ns
CLASS II tsu -0.896 -0.896 -1.302 -1.417 -1.552 -1.490 -1.884 -1.417 -1.552 -1.490 -1.884 ns
GCLK
PLL th 1.149 1.149 1.671 1.829 2.008 1.924 2.325 1.829 2.008 1.924 2.325 ns
tsu -0.743 -0.742 -1.061 -1.156 -1.104 -1.056 -1.435 -1.156 -1.104 -1.056 -1.435 ns
GCLK
1.5-V HSTL th 0.867 0.867 1.234 1.349 1.322 1.264 1.635 1.349 1.322 1.264 1.635 ns
CLASS I tsu -0.896 -0.896 -1.302 -1.417 -1.552 -1.490 -1.884 -1.417 -1.552 -1.490 -1.884 ns
GCLK
PLL th 1.149 1.149 1.671 1.829 2.008 1.924 2.325 1.829 2.008 1.924 2.325 ns
tsu -0.732 -0.731 -1.050 -1.145 -1.085 -1.037 -1.416 -1.145 -1.085 -1.037 -1.416 ns
GCLK
1.5-V HSTL th 0.856 0.856 1.222 1.338 1.303 1.245 1.616 1.338 1.303 1.245 1.616 ns
CLASS II tsu -0.885 -0.885 -1.291 -1.406 -1.533 -1.471 -1.865 -1.406 -1.533 -1.471 -1.865 ns
GCLK
PLL th 1.138 1.138 1.659 1.818 1.989 1.905 2.306 1.818 1.989 1.905 2.306 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard Industrial Commercial
VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.732 -0.731 -1.050 -1.145 -1.085 -1.037 -1.416 -1.145 -1.085 -1.037 -1.416 ns
GCLK
1.2-V HSTL th 0.856 0.856 1.222 1.338 1.303 1.245 1.616 1.338 1.303 1.245 1.616 ns
CLASS I tsu -0.885 -0.885 -1.291 -1.406 -1.533 -1.471 -1.865 -1.406 -1.533 -1.471 -1.865 ns
GCLK
PLL th 1.138 1.138 1.659 1.818 1.989 1.905 2.306 1.818 1.989 1.905 2.306 ns
tsu -0.720 -0.719 -1.040 -1.134 -1.069 -1.021 -1.400 -1.134 -1.069 -1.021 -1.400 ns
GCLK
1.2-V HSTL th 0.844 0.844 1.212 1.327 1.287 1.229 1.600 1.327 1.287 1.229 1.600 ns
CLASS II tsu -0.873 -0.873 -1.281 -1.395 -1.517 -1.455 -1.849 -1.395 -1.517 -1.455 -1.849 ns
GCLK
PLL th 1.126 1.126 1.649 1.807 1.973 1.889 2.290 1.807 1.973 1.889 2.290 ns
tsu -0.720 -0.719 -1.040 -1.134 -1.069 -1.021 -1.400 -1.134 -1.069 -1.021 -1.400 ns
GCLK
th 0.844 0.844 1.212 1.327 1.287 1.229 1.600 1.327 1.287 1.229 1.600 ns
3.0-V PCI
GCLK tsu -0.873 -0.873 -1.281 -1.395 -1.517 -1.455 -1.849 -1.395 -1.517 -1.455 -1.849 ns
PLL th 1.126 1.126 1.649 1.807 1.973 1.889 2.290 1.807 1.973 1.889 2.290 ns
tsu -0.823 -0.822 -1.153 -1.263 -1.311 -1.265 -1.644 -1.263 -1.311 -1.265 -1.644 ns
GCLK
3.0-V th 0.945 0.945 1.326 1.459 1.532 1.474 1.849 1.459 1.532 1.474 1.849 ns
PCI-X tsu -0.976 -0.976 -1.394 -1.524 -1.762 -1.702 -2.093 -1.524 -1.762 -1.702 -2.093 ns
GCLK
PLL th 1.227 1.227 1.763 1.939 2.221 2.137 2.539 1.939 2.221 2.137 2.539 ns
Table 1–52 lists the EP3SL70 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.817 -0.847 1.377 1.519 1.765 1.697 1.969 1.534 1.771 1.708 1.997 ns
GCLK
3.0-V th 0.931 0.973 -1.191 -1.310 -1.531 -1.478 -1.750 -1.314 -1.528 -1.479 -1.778 ns
LVCMOS tsu 0.931 0.934 1.576 1.787 1.897 1.781 1.796 1.788 1.908 1.789 1.850 ns
GCLK
PLL th -0.682 -0.673 1.377 1.519 1.765 1.697 1.969 1.534 1.771 1.708 1.997 ns
tsu -0.805 -0.840 1.518 1.758 1.994 1.874 1.798 1.758 1.917 1.798 1.849 ns
GCLK
th 0.919 0.966 1.447 1.676 1.892 1.823 2.114 1.723 1.933 1.867 2.146 ns
2.5 V
GCLK tsu 0.943 0.941 -1.259 -1.469 -1.662 -1.607 -1.898 -1.507 -1.694 -1.641 -1.931 ns
PLL th -0.694 -0.680 1.518 1.758 1.994 1.874 1.798 1.758 1.917 1.798 1.849 ns
tsu -0.946 -0.899 1.542 1.790 2.062 1.942 1.866 1.789 1.982 1.863 1.914 ns
GCLK
th 0.914 0.891 1.423 1.644 1.824 1.755 2.046 1.692 1.868 1.802 2.081 ns
1.8 V
GCLK tsu 1.060 1.028 -1.235 -1.437 -1.594 -1.539 -1.830 -1.476 -1.629 -1.576 -1.866 ns
PLL th -0.936 -0.888 1.621 1.891 2.221 2.101 2.025 1.885 2.137 2.018 2.069 ns
tsu 0.924 0.902 1.344 1.543 1.665 1.596 1.887 1.596 1.713 1.647 1.926 ns
GCLK
th 1.050 1.017 -1.156 -1.336 -1.435 -1.380 -1.671 -1.380 -1.474 -1.421 -1.711 ns
1.5 V
GCLK tsu -0.876 -0.835 1.662 1.896 2.117 2.001 2.016 1.900 2.125 2.006 2.067 ns
PLL th 0.984 0.955 1.291 1.410 1.545 1.477 1.749 1.422 1.554 1.491 1.780 ns
tsu 0.990 0.964 -1.118 -1.309 -1.430 -1.374 -1.667 -1.348 -1.467 -1.413 -1.704 ns
GCLK
th -0.747 -0.781 1.659 -1.309 -1.430 -1.374 -1.667 -1.348 -1.467 -1.413 -1.704 ns
1.2 V
GCLK tsu 1.000 0.999 1.306 1.514 1.658 1.589 1.879 1.562 1.703 1.638 1.915 ns
PLL th 0.862 0.908 -1.118 1.918 2.223 2.104 2.029 1.917 2.144 2.026 2.076 ns
tsu -0.747 -0.781 1.659 1.918 2.223 2.104 2.029 1.917 2.144 2.026 2.076 ns
GCLK
SSTL-2 th 1.000 0.999 1.306 -1.472 -1.722 -1.633 -1.557 -1.461 -1.635 -1.548 -1.601 ns
CLASS I tsu 0.862 0.908 -1.118 -1.309 -1.430 -1.374 -1.667 -1.348 -1.467 -1.413 -1.704 ns
GCLK
PLL th -0.850 -0.800 1.659 1.514 1.658 1.589 1.879 1.562 1.703 1.638 1.915 ns
tsu 1.010 0.990 1.306 1.918 2.223 2.104 2.029 1.917 2.144 2.026 2.076 ns
GCLK
SSTL-2 th 0.964 0.929 -1.118 -1.472 -1.722 -1.633 -1.557 -1.461 -1.635 -1.548 -1.601 ns
CLASS II tsu -0.850 -0.800 -1.276 -1.299 -1.412 -1.356 -1.649 -1.337 -1.450 -1.396 -1.687 ns
GCLK
PLL th 1.010 0.990 -1.105 1.504 1.640 1.571 1.861 1.551 1.686 1.621 1.898 ns
tsu 0.964 0.929 1.294 1.928 2.241 2.122 2.047 1.928 2.161 2.043 2.093 ns
GCLK
SSTL-18 th -0.836 -0.788 1.659 1.928 2.241 2.122 2.047 1.928 2.161 2.043 2.093 ns
CLASS I tsu 1.024 1.002 1.306 -1.482 -1.740 -1.651 -1.575 -1.472 -1.652 -1.565 -1.618 ns
GCLK
PLL th 0.950 0.917 -1.118 -1.299 -1.412 -1.356 -1.649 -1.337 -1.450 -1.396 -1.687 ns
tsu -0.850 -0.800 1.659 -1.472 -1.722 -1.633 -1.557 -1.461 -1.635 -1.548 -1.601 ns
GCLK
SSTL-18 th 1.010 0.990 1.306 -1.309 -1.430 -1.374 -1.667 -1.348 -1.467 -1.413 -1.704 ns
CLASS II tsu 0.964 0.929 -1.118 1.514 1.658 1.589 1.879 1.562 1.703 1.638 1.915 ns
GCLK
PLL th -0.850 -0.800 -1.276 1.918 2.223 2.104 2.029 1.917 2.144 2.026 2.076 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu 1.010 0.990 -1.105 -1.472 -1.722 -1.633 -1.557 -1.461 -1.635 -1.548 -1.601 ns
GCLK
SSTL-15 th 0.964 0.929 1.294 -1.309 -1.430 -1.374 -1.667 -1.348 -1.467 -1.413 -1.704 ns
CLASS I tsu -0.836 -0.788 1.674 1.514 1.658 1.589 1.879 1.562 1.703 1.638 1.915 ns
GCLK
PLL th 1.024 1.002 -1.276 1.918 2.223 2.104 2.029 1.917 2.144 2.026 2.076 ns
tsu 0.950 0.917 -1.105 -1.472 -1.722 -1.633 -1.557 -1.461 -1.635 -1.548 -1.601 ns
GCLK
1.8-V HSTL th -0.836 -0.788 -1.285 1.928 2.241 2.122 2.047 1.928 2.161 2.043 2.093 ns
CLASS I tsu 1.024 1.002 -1.096 -1.482 -1.740 -1.651 -1.575 -1.472 -1.652 -1.565 -1.618 ns
GCLK
PLL th 0.950 0.917 1.285 -1.299 -1.412 -1.356 -1.649 -1.337 -1.450 -1.396 -1.687 ns
tsu -0.827 -0.776 1.683 1.504 1.640 1.571 1.861 1.551 1.686 1.621 1.898 ns
GCLK
1.8-V HSTL th 1.033 1.014 -1.285 1.928 2.241 2.122 2.047 1.928 2.161 2.043 2.093 ns
CLASS II tsu 0.941 0.905 -1.096 -1.482 -1.740 -1.651 -1.575 -1.472 -1.652 -1.565 -1.618 ns
GCLK
PLL th -0.827 -0.776 -1.285 1.938 2.257 2.138 2.063 1.937 2.177 2.059 2.109 ns
tsu 1.033 1.014 -1.182 -1.492 -1.756 -1.667 -1.591 -1.481 -1.668 -1.581 -1.634 ns
GCLK
1.5-V HSTL th 0.941 0.905 1.585 -1.289 -1.396 -1.340 -1.633 -1.328 -1.434 -1.380 -1.671 ns
CLASS I tsu -0.817 -0.847 1.368 1.494 1.624 1.555 1.845 1.542 1.670 1.605 1.882 ns
GCLK
PLL th 0.931 0.973 -1.182 1.938 2.257 2.138 2.063 1.937 2.177 2.059 2.109 ns
tsu 0.931 0.934 1.585 -1.492 -1.756 -1.667 -1.591 -1.481 -1.668 -1.581 -1.634 ns
GCLK
1.5-V HSTL th -0.682 -0.673 1.368 -1.289 -1.396 -1.340 -1.633 -1.328 -1.434 -1.380 -1.671 ns
CLASS II tsu -0.817 -0.847 1.368 1.494 1.624 1.555 1.845 1.542 1.670 1.605 1.882 ns
GCLK
PLL th 0.931 0.973 1.368 1.938 2.257 2.138 2.063 1.937 2.177 2.059 2.109 ns
tsu 0.931 0.934 1.368 -1.492 -1.756 -1.667 -1.591 -1.481 -1.668 -1.581 -1.634 ns
GCLK
1.2-V HSTL th -0.682 -0.673 1.368 -1.289 -1.396 -1.340 -1.633 -1.328 -1.434 -1.380 -1.671 ns
CLASS I tsu -0.811 -0.836 -1.185 -1.296 -1.513 -1.460 -1.732 -1.306 -1.513 -1.464 -1.763 ns
GCLK
PLL th 0.925 0.962 1.582 1.801 1.915 1.799 1.814 1.796 1.923 1.804 1.865 ns
tsu 0.937 0.945 1.371 1.505 1.747 1.679 1.951 1.526 1.756 1.693 1.982 ns
GCLK
1.2-V HSTL th -0.688 -0.684 -1.185 -1.296 -1.513 -1.460 -1.732 -1.306 -1.513 -1.464 -1.763 ns
CLASS II tsu -0.811 -0.836 -1.185 -1.296 -1.513 -1.460 -1.732 -1.306 -1.513 -1.464 -1.763 ns
GCLK
PLL th 0.925 0.962 1.582 1.801 1.915 1.799 1.814 1.796 1.923 1.804 1.865 ns
tsu 0.937 0.945 1.371 1.505 1.747 1.679 1.951 1.526 1.756 1.693 1.982 ns
GCLK
th -0.688 -0.684 -1.182 -1.297 -1.516 -1.463 -1.735 -1.305 -1.518 -1.469 -1.768 ns
3.0-V PCI
GCLK tsu -0.817 -0.847 1.368 1.506 1.750 1.682 1.954 1.525 1.761 1.698 1.987 ns
PLL th 0.931 0.973 -1.182 -1.297 -1.516 -1.463 -1.735 -1.305 -1.518 -1.469 -1.768 ns
tsu 0.931 0.934 1.585 1.800 1.912 1.796 1.811 1.797 1.918 1.799 1.860 ns
GCLK
3.0-V th -0.682 -0.673 1.368 1.506 1.750 1.682 1.954 1.525 1.761 1.698 1.987 ns
PCI-X tsu -0.817 -0.847 1.377 1.519 1.765 1.697 1.969 1.534 1.771 1.708 1.997 ns
GCLK
PLL th 0.931 0.973 -1.191 -1.310 -1.531 -1.478 -1.750 -1.314 -1.528 -1.479 -1.778 ns
Table 1–53 lists the EP3SL70 column pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.187 3.187 4.411 4.765 5.226 5.105 5.313 4.765 5.226 5.105 5.313 ns
4mA GCLK
tco 3.514 3.514 4.895 5.293 5.823 5.680 5.963 5.293 5.823 5.680 5.963 ns
PLL
GCLK tco 3.120 3.120 4.302 4.654 5.113 4.992 5.200 4.654 5.113 4.992 5.200 ns
8mA GCLK
tco 3.447 3.447 4.786 5.182 5.710 5.567 5.850 5.182 5.710 5.567 5.850 ns
3.3-V PLL
LVTTL GCLK tco 3.034 3.034 4.198 4.556 5.021 4.900 5.108 4.556 5.021 4.900 5.108 ns
12mA GCLK
tco 3.361 3.361 4.682 5.083 5.618 5.475 5.760 5.083 5.618 5.475 5.760 ns
PLL
GCLK tco 3.028 3.028 4.182 4.528 4.980 4.859 5.067 4.528 4.980 4.859 5.067 ns
16mA GCLK
tco 3.354 3.354 4.665 5.055 5.577 5.434 5.718 5.055 5.577 5.434 5.718 ns
PLL
GCLK tco 3.193 3.193 4.415 4.770 5.233 5.112 5.320 4.770 5.233 5.112 5.320 ns
4mA GCLK
tco 3.520 3.520 4.899 5.298 5.830 5.687 5.971 5.298 5.830 5.687 5.971 ns
PLL
GCLK tco 3.038 3.038 4.209 4.573 5.032 4.911 5.119 4.573 5.032 4.911 5.119 ns
8mA GCLK
tco 3.365 3.365 4.692 5.100 5.629 5.486 5.770 5.100 5.629 5.486 5.770 ns
3.3-V PLL
LVCMOS GCLK tco 3.045 3.045 4.203 4.552 5.006 4.885 5.093 4.552 5.006 4.885 5.093 ns
12mA GCLK
tco 3.372 3.372 4.686 5.079 5.603 5.460 5.746 5.079 5.603 5.460 5.746 ns
PLL
GCLK tco 3.029 3.029 4.180 4.527 4.977 4.856 5.064 4.527 4.977 4.856 5.064 ns
16mA GCLK
tco 3.356 3.356 4.664 5.054 5.574 5.431 5.717 5.054 5.574 5.431 5.717 ns
PLL
GCLK tco 3.150 3.150 4.378 4.733 5.193 5.072 5.280 4.733 5.193 5.072 5.280 ns
4mA GCLK
tco 3.478 3.478 4.862 5.261 5.790 5.647 5.954 5.261 5.790 5.647 5.954 ns
PLL
GCLK tco 3.043 3.043 4.250 4.602 5.056 4.936 5.142 4.602 5.056 4.936 5.142 ns
8mA GCLK
tco 3.367 3.367 4.732 5.127 5.652 5.510 5.837 5.127 5.652 5.510 5.837 ns
3.0-V PLL
LVTTL GCLK tco 3.005 3.005 4.184 4.530 4.982 4.862 5.068 4.530 4.982 4.862 5.068 ns
12mA GCLK
tco 3.331 3.331 4.669 5.058 5.578 5.436 5.773 5.058 5.578 5.436 5.773 ns
PLL
GCLK tco 2.986 2.986 4.156 4.503 4.953 4.832 5.040 4.503 4.953 4.832 5.040 ns
16mA GCLK
tco 3.313 3.313 4.640 5.030 5.550 5.407 5.750 5.030 5.550 5.407 5.750 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.067 3.067 4.284 4.635 5.091 4.971 5.177 4.635 5.091 4.971 5.177 ns
4mA GCLK
tco 3.392 3.392 4.766 5.161 5.687 5.545 5.873 5.161 5.687 5.545 5.873 ns
PLL
GCLK tco 2.988 2.988 4.160 4.505 4.956 4.836 5.042 4.505 4.956 4.836 5.042 ns
8mA GCLK
tco 3.313 3.313 4.642 5.032 5.552 5.410 5.763 5.032 5.552 5.410 5.763 ns
3.0-V PLL
LVCMOS GCLK tco 2.981 2.981 4.152 4.498 4.947 4.826 5.034 4.498 4.947 4.826 5.034 ns
12mA GCLK
tco 3.308 3.308 4.635 5.025 5.544 5.401 5.732 5.025 5.544 5.401 5.732 ns
PLL
GCLK tco 2.972 2.972 4.137 4.482 4.932 4.811 5.019 4.482 4.932 4.811 5.019 ns
16mA GCLK
tco 3.299 3.299 4.621 5.010 5.529 5.386 5.737 5.010 5.529 5.386 5.737 ns
PLL
GCLK tco 3.187 3.187 4.490 4.860 5.338 5.218 5.424 4.860 5.338 5.218 5.424 ns
4mA GCLK
tco 3.514 3.514 4.973 5.388 5.934 5.792 6.081 5.388 5.934 5.792 6.081 ns
PLL
GCLK tco 3.090 3.090 4.371 4.735 5.206 5.086 5.292 4.735 5.206 5.086 5.292 ns
8mA GCLK
tco 3.414 3.414 4.854 5.262 5.802 5.660 5.957 5.262 5.802 5.660 5.957 ns
PLL
2.5 V
GCLK tco 3.045 3.045 4.284 4.644 5.110 4.989 5.197 4.644 5.110 4.989 5.197 ns
12mA GCLK
tco 3.370 3.370 4.767 5.171 5.707 5.564 5.879 5.171 5.707 5.564 5.879 ns
PLL
GCLK tco 3.006 3.006 4.245 4.602 5.067 4.946 5.154 4.602 5.067 4.946 5.154 ns
16mA GCLK
tco 3.332 3.332 4.728 5.129 5.664 5.521 5.822 5.129 5.664 5.521 5.822 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.378 3.378 4.810 5.219 5.742 5.621 5.829 5.219 5.742 5.621 5.829 ns
2mA GCLK
tco 3.705 3.705 5.294 5.748 6.339 6.196 6.478 5.748 6.339 6.196 6.478 ns
PLL
GCLK tco 3.200 3.200 4.533 4.912 5.395 5.275 5.481 4.912 5.395 5.275 5.481 ns
4mA GCLK
tco 3.524 3.524 5.015 5.439 5.991 5.849 6.147 5.439 5.991 5.849 6.147 ns
PLL
GCLK tco 3.115 3.115 4.424 4.796 5.284 5.163 5.371 4.796 5.284 5.163 5.371 ns
6mA GCLK
tco 3.442 3.442 4.908 5.324 5.881 5.738 6.035 5.324 5.881 5.738 6.035 ns
PLL
1.8 V
GCLK tco 3.095 3.095 4.366 4.742 5.218 5.097 5.305 4.742 5.218 5.097 5.305 ns
8mA GCLK
tco 3.422 3.422 4.850 5.270 5.815 5.672 5.958 5.270 5.815 5.672 5.958 ns
PLL
GCLK tco 3.032 3.032 4.306 4.668 5.137 5.016 5.224 4.668 5.137 5.016 5.224 ns
10mA GCLK
tco 3.359 3.359 4.789 5.195 5.734 5.591 5.889 5.195 5.734 5.591 5.889 ns
PLL
GCLK tco 3.015 3.015 4.285 4.647 5.114 4.993 5.201 4.647 5.114 4.993 5.201 ns
12mA GCLK
tco 3.341 3.341 4.768 5.174 5.711 5.568 5.856 5.174 5.711 5.568 5.856 ns
PLL
GCLK tco 3.324 3.324 4.739 5.152 5.680 5.559 5.767 5.152 5.680 5.559 5.767 ns
2mA GCLK
tco 3.651 3.651 5.223 5.680 6.277 6.134 6.423 5.680 6.277 6.134 6.423 ns
PLL
GCLK tco 3.112 3.112 4.420 4.795 5.288 5.167 5.375 4.795 5.288 5.167 5.375 ns
4mA GCLK
tco 3.439 3.439 4.904 5.324 5.885 5.742 6.037 5.324 5.885 5.742 6.037 ns
PLL
GCLK tco 3.088 3.088 4.353 4.737 5.221 5.100 5.308 4.737 5.221 5.100 5.308 ns
6mA GCLK
tco 3.414 3.414 4.837 5.264 5.818 5.675 5.960 5.264 5.818 5.675 5.960 ns
PLL
1.5 V
GCLK tco 3.076 3.076 4.337 4.712 5.201 5.080 5.288 4.712 5.201 5.080 5.288 ns
8mA GCLK
tco 3.403 3.403 4.820 5.239 5.798 5.655 5.943 5.239 5.798 5.655 5.943 ns
PLL
GCLK tco 3.021 3.021 4.298 4.661 5.131 5.010 5.218 4.661 5.131 5.010 5.218 ns
10mA GCLK
tco 3.348 3.348 4.782 5.188 5.728 5.585 5.880 5.188 5.728 5.585 5.880 ns
PLL
GCLK tco 3.015 3.015 4.281 4.648 5.120 4.999 5.207 4.648 5.120 4.999 5.207 ns
12mA GCLK
tco 3.343 3.343 4.765 5.177 5.717 5.574 5.859 5.177 5.717 5.574 5.859 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.240 3.240 4.665 5.087 5.624 5.503 5.711 5.087 5.624 5.503 5.711 ns
2mA GCLK
tco 3.567 3.567 5.149 5.616 6.221 6.078 6.363 5.616 6.221 6.078 6.363 ns
PLL
GCLK tco 3.118 3.118 4.440 4.827 5.338 5.217 5.425 4.827 5.338 5.217 5.425 ns
4mA GCLK
tco 3.444 3.444 4.923 5.354 5.935 5.792 6.078 5.354 5.935 5.792 6.078 ns
PLL
1.2 V
GCLK tco 3.080 3.080 4.347 4.737 5.225 5.104 5.312 4.737 5.225 5.104 5.312 ns
6mA GCLK
tco 3.406 3.406 4.831 5.265 5.822 5.679 5.964 5.265 5.822 5.679 5.964 ns
PLL
GCLK tco 3.031 3.031 4.318 4.687 5.169 5.048 5.256 4.687 5.169 5.048 5.256 ns
8mA GCLK
tco 3.359 3.359 4.803 5.216 5.766 5.623 5.917 5.216 5.766 5.623 5.917 ns
PLL
GCLK tco 3.032 3.032 4.275 4.634 5.100 4.979 5.187 4.634 5.100 4.979 5.187 ns
8mA GCLK
tco 3.359 3.359 4.760 5.163 5.697 5.554 5.874 5.163 5.697 5.554 5.874 ns
PLL
GCLK tco 3.029 3.029 4.272 4.630 5.096 4.975 5.183 4.630 5.096 4.975 5.183 ns
SSTL-2
10mA GCLK
CLASS I tco 3.356 3.356 4.757 5.160 5.693 5.550 5.869 5.160 5.693 5.550 5.869 ns
PLL
GCLK tco 3.028 3.028 4.272 4.631 5.097 4.976 5.184 4.631 5.097 4.976 5.184 ns
12mA GCLK
tco 3.354 3.354 4.757 5.161 5.694 5.551 5.870 5.161 5.694 5.551 5.870 ns
PLL
GCLK tco 3.019 3.019 4.259 4.617 5.082 4.961 5.169 4.617 5.082 4.961 5.169 ns
SSTL-2
16mA GCLK
CLASS II tco 3.345 3.345 4.742 5.145 5.679 5.536 5.854 5.145 5.679 5.536 5.854 ns
PLL
GCLK tco 3.039 3.039 4.287 4.648 5.116 4.995 5.203 4.648 5.116 4.995 5.203 ns
4mA GCLK
tco 3.366 3.366 4.772 5.177 5.713 5.570 5.893 5.177 5.713 5.570 5.893 ns
PLL
GCLK tco 3.035 3.035 4.285 4.646 5.114 4.993 5.201 4.646 5.114 4.993 5.201 ns
6mA GCLK
tco 3.362 3.362 4.770 5.175 5.711 5.568 5.891 5.175 5.711 5.568 5.891 ns
PLL
GCLK tco 3.025 3.025 4.276 4.637 5.105 4.984 5.192 4.637 5.105 4.984 5.192 ns
SSTL-18
8mA GCLK
CLASS I tco 3.351 3.351 4.760 5.166 5.702 5.559 5.889 5.166 5.702 5.559 5.889 ns
PLL
GCLK tco 3.013 3.013 4.263 4.624 5.092 4.971 5.179 4.624 5.092 4.971 5.179 ns
10mA GCLK
tco 3.340 3.340 4.747 5.153 5.689 5.546 5.864 5.153 5.689 5.546 5.864 ns
PLL
GCLK tco 3.013 3.013 4.263 4.624 5.092 4.971 5.179 4.624 5.092 4.971 5.179 ns
12mA GCLK
tco 3.340 3.340 4.747 5.153 5.689 5.546 5.863 5.153 5.689 5.546 5.863 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.020 3.020 4.262 4.621 5.087 4.966 5.174 4.621 5.087 4.966 5.174 ns
8mA GCLK
tco 3.346 3.346 4.746 5.150 5.684 5.541 5.866 5.150 5.684 5.541 5.866 ns
SSTL-18 PLL
CLASS II GCLK tco 3.022 3.022 4.269 4.630 5.099 4.978 5.186 4.630 5.099 4.978 5.186 ns
16mA GCLK
tco 3.349 3.349 4.754 5.159 5.696 5.553 5.889 5.159 5.696 5.553 5.889 ns
PLL
GCLK tco 3.042 3.042 4.297 4.659 5.129 5.008 5.216 4.659 5.129 5.008 5.216 ns
4mA GCLK
tco 3.370 3.370 4.781 5.189 5.726 5.583 5.908 5.189 5.726 5.583 5.908 ns
PLL
GCLK tco 3.030 3.030 4.287 4.650 5.120 4.999 5.207 4.650 5.120 4.999 5.207 ns
6mA GCLK
tco 3.356 3.356 4.771 5.179 5.717 5.574 5.894 5.179 5.717 5.574 5.894 ns
PLL
GCLK tco 3.018 3.018 4.273 4.636 5.106 4.985 5.193 4.636 5.106 4.985 5.193 ns
SSTL-15
8mA GCLK
CLASS I tco 3.345 3.345 4.757 5.165 5.703 5.560 5.879 5.165 5.703 5.560 5.879 ns
PLL
GCLK tco 3.017 3.017 4.275 4.639 5.110 4.989 5.197 4.639 5.110 4.989 5.197 ns
10mA GCLK
tco 3.344 3.344 4.760 5.168 5.707 5.564 5.876 5.168 5.707 5.564 5.876 ns
PLL
GCLK tco 3.014 3.014 4.270 4.633 5.104 4.983 5.191 4.633 5.104 4.983 5.191 ns
12mA GCLK
tco 3.341 3.341 4.755 5.163 5.701 5.558 5.870 5.163 5.701 5.558 5.870 ns
PLL
GCLK tco 3.016 3.016 4.260 4.620 5.087 4.966 5.174 4.620 5.087 4.966 5.174 ns
8mA GCLK
tco 3.343 3.343 4.744 5.149 5.684 5.541 5.867 5.149 5.684 5.541 5.867 ns
SSTL-15 PLL
CLASS II GCLK tco 3.019 3.019 4.267 4.628 5.098 4.977 5.185 4.628 5.098 4.977 5.185 ns
16mA GCLK
tco 3.346 3.346 4.751 5.158 5.695 5.552 5.891 5.158 5.695 5.552 5.891 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.026 3.026 4.261 4.619 5.085 4.964 5.172 4.619 5.085 4.964 5.172 ns
4mA GCLK
tco 3.353 3.353 4.746 5.149 5.682 5.539 5.868 5.149 5.682 5.539 5.868 ns
PLL
GCLK tco 3.020 3.020 4.260 4.619 5.084 4.963 5.171 4.619 5.084 4.963 5.171 ns
6mA GCLK
tco 3.346 3.346 4.744 5.147 5.681 5.538 5.873 5.147 5.681 5.538 5.873 ns
PLL
1.8-V GCLK tco 3.012 3.012 4.252 4.611 5.077 4.956 5.164 4.611 5.077 4.956 5.164 ns
HSTL 8mA GCLK
CLASS I tco 3.338 3.338 4.736 5.140 5.674 5.531 5.855 5.140 5.674 5.531 5.855 ns
PLL
GCLK tco 3.014 3.014 4.255 4.614 5.081 4.960 5.168 4.614 5.081 4.960 5.168 ns
10mA GCLK
tco 3.341 3.341 4.739 5.143 5.678 5.535 5.858 5.143 5.678 5.535 5.858 ns
PLL
GCLK tco 3.011 3.011 4.257 4.617 5.085 4.964 5.172 4.617 5.085 4.964 5.172 ns
12mA GCLK
tco 3.338 3.338 4.742 5.147 5.682 5.539 5.865 5.147 5.682 5.539 5.865 ns
PLL
1.8-V GCLK tco 3.019 3.019 4.256 4.615 5.081 4.960 5.168 4.615 5.081 4.960 5.168 ns
HSTL 16mA GCLK
CLASS II tco 3.346 3.346 4.741 5.144 5.678 5.535 5.869 5.144 5.678 5.535 5.869 ns
PLL
GCLK tco 3.031 3.031 4.269 4.629 5.096 4.975 5.183 4.629 5.096 4.975 5.183 ns
4mA GCLK
tco 3.358 3.358 4.754 5.159 5.693 5.550 5.881 5.159 5.693 5.550 5.881 ns
PLL
GCLK tco 3.027 3.027 4.272 4.632 5.099 4.978 5.186 4.632 5.099 4.978 5.186 ns
6mA GCLK
tco 3.354 3.354 4.755 5.160 5.696 5.553 5.878 5.160 5.696 5.553 5.878 ns
PLL
1.5-V GCLK tco 3.023 3.023 4.267 4.627 5.094 4.973 5.181 4.627 5.094 4.973 5.181 ns
HSTL 8mA GCLK
CLASS I tco 3.350 3.350 4.751 5.156 5.691 5.548 5.873 5.156 5.691 5.548 5.873 ns
PLL
GCLK tco 3.016 3.016 4.260 4.620 5.087 4.966 5.174 4.620 5.087 4.966 5.174 ns
10mA GCLK
tco 3.343 3.343 4.744 5.149 5.684 5.541 5.867 5.149 5.684 5.541 5.867 ns
PLL
GCLK tco 3.016 3.016 4.266 4.627 5.097 4.976 5.184 4.627 5.097 4.976 5.184 ns
12mA GCLK
tco 3.344 3.344 4.751 5.157 5.694 5.551 5.870 5.157 5.694 5.551 5.870 ns
PLL
1.5-V GCLK tco 3.014 3.014 4.247 4.605 5.071 4.950 5.158 4.605 5.071 4.950 5.158 ns
HSTL 16mA GCLK
CLASS II tco 3.342 3.342 4.732 5.135 5.668 5.525 5.855 5.135 5.668 5.525 5.855 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.035 3.035 4.284 4.647 5.117 4.996 5.204 4.647 5.117 4.996 5.204 ns
4mA GCLK
tco 3.361 3.361 4.768 5.176 5.714 5.571 5.900 5.176 5.714 5.571 5.900 ns
PLL
GCLK tco 3.026 3.026 4.275 4.638 5.108 4.987 5.195 4.638 5.108 4.987 5.195 ns
6mA GCLK
tco 3.353 3.353 4.759 5.167 5.705 5.562 5.888 5.167 5.705 5.562 5.888 ns
PLL
1.2-V GCLK tco 3.026 3.026 4.282 4.646 5.117 4.996 5.204 4.646 5.117 4.996 5.204 ns
HSTL 8mA GCLK
CLASS I tco 3.354 3.354 4.767 5.175 5.714 5.571 5.891 5.175 5.714 5.571 5.891 ns
PLL
GCLK tco 3.016 3.016 4.269 4.632 5.103 4.982 5.190 4.632 5.103 4.982 5.190 ns
10mA GCLK
tco 3.343 3.343 4.754 5.162 5.700 5.557 5.885 5.162 5.700 5.557 5.885 ns
PLL
GCLK tco 3.016 3.016 4.270 4.633 5.104 4.983 5.191 4.633 5.104 4.983 5.191 ns
12mA GCLK
tco 3.343 3.343 4.754 5.162 5.701 5.558 5.877 5.162 5.701 5.558 5.877 ns
PLL
1.2-V GCLK tco 3.037 3.037 4.286 4.648 5.117 4.996 5.204 4.648 5.117 4.996 5.204 ns
HSTL 16mA GCLK
CLASS II tco 3.364 3.364 4.770 5.177 5.714 5.571 5.914 5.177 5.714 5.571 5.914 ns
PLL
GCLK tco 3.140 3.140 4.331 4.684 5.142 5.021 5.229 4.684 5.142 5.021 5.229 ns
3.0-V PCI — GCLK
tco 3.467 3.467 4.815 5.211 5.739 5.596 5.945 5.211 5.739 5.596 5.945 ns
PLL
GCLK tco 3.140 3.140 4.331 4.684 5.142 5.021 5.229 4.684 5.142 5.021 5.229 ns
3.0-V
— GCLK
PCI-X tco 3.467 3.467 4.815 5.211 5.739 5.596 5.945 5.211 5.739 5.596 5.945 ns
PLL
Table 1–54 lists the EP3SL70 row pins output timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.182 3.424 4.767 5.163 5.668 5.532 5.739 5.293 5.802 5.667 5.813 ns
4mA GCLK
tco 1.474 1.669 2.054 2.135 2.377 2.393 2.266 2.251 2.501 2.515 2.258 ns
PLL
GCLK tco 3.089 3.319 4.637 5.025 5.524 5.388 5.595 5.152 5.653 5.518 5.664 ns
3.3-V
8mA GCLK
LVTTL tco 1.408 1.598 1.944 2.023 2.233 2.249 2.152 2.138 2.352 2.366 2.142 ns
PLL
GCLK tco 2.990 3.213 4.518 4.902 5.396 5.260 5.467 5.025 5.521 5.386 5.532 ns
12mA GCLK
tco 1.329 1.509 1.838 1.923 2.119 2.138 2.056 2.039 2.235 2.252 2.043 ns
PLL
GCLK tco 3.192 3.428 4.775 5.168 5.673 5.537 5.744 5.299 5.807 5.672 5.818 ns
4mA GCLK
tco 1.476 1.676 2.058 2.140 2.382 2.398 2.275 2.259 2.506 2.520 2.270 ns
3.3-V PLL
LVCMOS GCLK tco 2.994 3.219 4.524 4.908 5.402 5.266 5.473 5.031 5.528 5.393 5.539 ns
8mA GCLK
tco 1.333 1.513 1.849 1.938 2.129 2.148 2.066 2.051 2.244 2.261 2.052 ns
PLL
GCLK tco 3.136 3.370 4.719 5.116 5.625 5.489 5.696 5.250 5.760 5.625 5.771 ns
4mA GCLK
tco 1.435 1.630 2.021 2.103 2.334 2.350 2.232 2.219 2.459 2.473 2.224 ns
PLL
GCLK tco 3.011 3.243 4.566 4.957 5.461 5.325 5.532 5.088 5.596 5.460 5.606 ns
3.0-V
8mA GCLK
LVTTL tco 1.334 1.518 1.884 1.961 2.170 2.186 2.087 2.077 2.295 2.308 2.078 ns
PLL
GCLK tco 2.972 3.192 4.484 4.874 5.373 5.237 5.444 5.002 5.503 5.367 5.513 ns
12mA GCLK
tco 1.297 1.480 1.824 1.896 2.082 2.099 2.017 2.009 2.202 2.215 2.005 ns
PLL
GCLK tco 3.050 3.289 4.613 5.009 5.514 5.378 5.585 5.142 5.649 5.513 5.659 ns
4mA GCLK
tco 1.356 1.542 1.919 1.996 2.223 2.239 2.123 2.111 2.348 2.361 2.114 ns
3.0-V PLL
LVCMOS GCLK tco 2.950 3.170 4.449 4.835 5.334 5.198 5.405 4.962 5.463 5.327 5.473 ns
8mA GCLK
tco 1.284 1.464 1.796 1.867 2.052 2.071 1.989 1.979 2.169 2.185 1.976 ns
PLL
GCLK tco 3.162 3.406 4.851 5.270 5.797 5.661 5.868 5.410 5.939 5.803 5.949 ns
4mA GCLK
tco 1.461 1.667 2.129 2.229 2.506 2.522 2.377 2.351 2.638 2.651 2.376 ns
PLL
GCLK tco 3.052 3.307 4.696 5.107 5.627 5.491 5.698 5.243 5.765 5.629 5.775 ns
2.5 V 8mA GCLK
tco 1.376 1.564 2.005 2.094 2.336 2.352 2.235 2.214 2.464 2.477 2.231 ns
PLL
GCLK tco 3.006 3.231 4.585 4.988 5.501 5.365 5.572 5.120 5.635 5.499 5.645 ns
12mA GCLK
tco 1.319 1.520 1.921 2.008 2.210 2.226 2.144 2.125 2.334 2.347 2.137 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.350 3.691 5.275 5.573 6.134 5.998 6.210 5.723 6.286 6.151 6.297 ns
2mA GCLK
tco 1.728 1.915 2.562 2.717 2.981 2.999 2.946 2.881 3.150 3.167 2.958 ns
PLL
GCLK tco 3.177 3.489 4.948 5.247 5.774 5.638 5.850 5.397 5.925 5.789 5.935 ns
4mA GCLK
tco 1.503 1.713 2.235 2.349 2.576 2.594 2.541 2.516 2.744 2.760 2.551 ns
PLL
1.8 V
GCLK tco 3.102 3.387 4.795 5.142 5.673 5.537 5.749 5.283 5.819 5.684 5.830 ns
6mA GCLK
tco 1.438 1.611 2.082 2.199 2.417 2.435 2.382 2.348 2.571 2.588 2.379 ns
PLL
GCLK tco 3.084 3.313 4.718 5.089 5.608 5.472 5.684 5.227 5.747 5.612 5.758 ns
8mA GCLK
tco 1.378 1.557 2.005 2.106 2.321 2.339 2.286 2.253 2.477 2.494 2.285 ns
PLL
GCLK tco 3.292 3.609 5.185 5.500 6.071 5.935 6.147 5.644 6.221 6.086 6.232 ns
2mA GCLK
tco 1.639 1.833 2.472 2.631 2.909 2.927 2.874 2.788 3.074 3.091 2.882 ns
PLL
GCLK tco 3.100 3.352 4.780 5.142 5.677 5.541 5.753 5.280 5.820 5.685 5.831 ns
4mA GCLK
tco 1.397 1.576 2.067 2.194 2.418 2.436 2.383 2.342 2.570 2.587 2.378 ns
PLL
1.5 V
GCLK tco 3.073 3.304 4.707 5.081 5.608 5.472 5.684 5.220 5.747 5.612 5.758 ns
6mA GCLK
tco 1.370 1.548 1.994 2.098 2.312 2.330 2.277 2.244 2.465 2.482 2.273 ns
PLL
GCLK tco 3.054 3.295 4.685 5.057 5.589 5.453 5.665 5.196 5.728 5.593 5.739 ns
8mA GCLK
tco 1.361 1.537 1.972 2.080 2.293 2.311 2.258 2.226 2.443 2.460 2.251 ns
PLL
GCLK tco 3.222 3.534 5.095 5.432 6.010 5.874 6.086 5.576 6.152 6.017 6.163 ns
2mA GCLK
tco 1.582 1.758 2.382 2.545 2.834 2.852 2.799 2.700 2.990 3.007 2.798 ns
PLL
1.2 V
GCLK tco 3.105 3.345 4.802 5.170 5.725 5.589 5.801 5.309 5.864 5.729 5.875 ns
4mA GCLK
tco 1.402 1.578 2.089 2.222 2.459 2.477 2.424 2.367 2.612 2.629 2.420 ns
PLL
GCLK tco 2.991 3.217 4.563 4.960 5.469 5.333 5.540 5.087 5.597 5.462 5.608 ns
8mA GCLK
tco 1.323 1.508 1.914 1.999 2.197 2.216 2.134 2.112 2.315 2.332 2.123 ns
SSTL-2 PLL
CLASS I GCLK tco 2.979 3.205 4.555 4.952 5.461 5.325 5.532 5.079 5.590 5.455 5.601 ns
12mA GCLK
tco 1.318 1.504 1.911 1.997 2.195 2.214 2.132 2.111 2.314 2.331 2.122 ns
PLL
GCLK tco 2.963 3.187 4.530 4.927 5.434 5.298 5.505 5.053 5.563 5.428 5.574 ns
SSTL-2
16mA GCLK
CLASS II tco 1.309 1.493 1.896 1.981 2.178 2.197 2.115 2.094 2.296 2.313 2.104 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.033 3.269 4.613 4.993 5.503 5.367 5.579 5.126 5.638 5.503 5.649 ns
4mA GCLK
tco 1.339 1.501 1.909 1.997 2.194 2.212 2.159 2.134 2.340 2.357 2.148 ns
PLL
GCLK tco 3.028 3.255 4.610 4.992 5.502 5.366 5.578 5.124 5.636 5.501 5.647 ns
6mA GCLK
tco 1.324 1.496 1.907 1.996 2.192 2.210 2.157 2.132 2.338 2.355 2.146 ns
PLL
GCLK tco 3.017 3.243 4.593 4.982 5.492 5.356 5.568 5.115 5.627 5.492 5.638 ns
SSTL-18
8mA GCLK
CLASS I tco 1.313 1.485 1.897 1.986 2.175 2.193 2.140 2.115 2.322 2.339 2.130 ns
PLL
GCLK tco 3.006 3.220 4.577 4.969 5.479 5.343 5.555 5.103 5.615 5.480 5.626 ns
10mA GCLK
tco 1.290 1.474 1.884 1.973 2.160 2.178 2.125 2.100 2.307 2.324 2.115 ns
PLL
GCLK tco 3.006 3.219 4.576 4.969 5.479 5.343 5.555 5.102 5.615 5.480 5.626 ns
12mA GCLK
tco 1.290 1.473 1.884 1.973 2.159 2.177 2.124 2.099 2.306 2.323 2.114 ns
PLL
GCLK tco 3.014 3.228 4.574 4.966 5.474 5.338 5.550 5.098 5.609 5.474 5.620 ns
8mA GCLK
tco 1.299 1.480 1.883 1.970 2.153 2.171 2.118 2.094 2.299 2.316 2.107 ns
SSTL-18 PLL
CLASS II GCLK tco 3.015 3.223 4.573 4.974 5.484 5.348 5.560 5.107 5.620 5.485 5.631 ns
16mA GCLK
tco 1.299 1.483 1.889 1.978 2.155 2.173 2.120 2.096 2.303 2.320 2.111 ns
PLL
GCLK tco 3.036 3.265 4.624 5.004 5.516 5.380 5.592 5.136 5.650 5.515 5.661 ns
4mA GCLK
tco 1.335 1.504 1.918 2.008 2.211 2.229 2.176 2.147 2.356 2.373 2.164 ns
PLL
GCLK tco 3.022 3.243 4.606 4.994 5.506 5.370 5.582 5.127 5.641 5.506 5.652 ns
SSTL-15
6mA GCLK
CLASS I tco 1.312 1.490 1.907 1.998 2.194 2.212 2.159 2.131 2.340 2.357 2.148 ns
PLL
GCLK tco 3.011 3.226 4.589 4.981 5.493 5.357 5.569 5.114 5.628 5.493 5.639 ns
8mA GCLK
tco 1.295 1.478 1.894 1.985 2.176 2.194 2.141 2.113 2.323 2.340 2.131 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.021 3.241 4.580 4.964 5.472 5.336 5.548 5.097 5.606 5.471 5.617 ns
4mA GCLK
tco 1.314 1.486 1.882 1.968 2.156 2.174 2.121 2.098 2.302 2.319 2.110 ns
PLL
GCLK tco 3.014 3.229 4.571 4.963 5.471 5.335 5.547 5.096 5.606 5.471 5.617 ns
6mA GCLK
tco 1.302 1.480 1.880 1.967 2.148 2.166 2.113 2.090 2.295 2.312 2.103 ns
PLL
1.8-V GCLK tco 3.005 3.217 4.563 4.956 5.464 5.328 5.540 5.089 5.599 5.464 5.610 ns
HSTL 8mA GCLK
CLASS I tco 1.289 1.472 1.873 1.960 2.140 2.158 2.105 2.082 2.287 2.304 2.095 ns
PLL
GCLK tco 3.008 3.219 4.565 4.959 5.468 5.332 5.544 5.092 5.602 5.467 5.613 ns
10mA GCLK
tco 1.292 1.474 1.876 1.963 2.143 2.161 2.108 2.085 2.290 2.307 2.098 ns
PLL
GCLK tco 3.004 3.214 4.563 4.962 5.471 5.335 5.547 5.095 5.607 5.472 5.618 ns
12mA GCLK
tco 1.288 1.471 1.878 1.966 2.143 2.161 2.108 2.085 2.291 2.308 2.099 ns
PLL
1.8-V GCLK tco 3.012 3.218 4.558 4.959 5.467 5.331 5.543 5.091 5.601 5.466 5.612 ns
HSTL 16mA GCLK
CLASS II tco 1.296 1.479 1.876 1.963 2.134 2.152 2.099 2.078 2.280 2.297 2.088 ns
PLL
GCLK tco 3.027 3.248 4.591 4.974 5.483 5.347 5.559 5.106 5.617 5.482 5.628 ns
4mA GCLK
tco 1.321 1.492 1.891 1.978 2.171 2.189 2.136 2.110 2.316 2.333 2.124 ns
PLL
1.5-V GCLK tco 3.021 3.238 4.587 4.976 5.485 5.349 5.561 5.108 5.620 5.485 5.631 ns
HSTL 6mA GCLK
CLASS I tco 1.309 1.487 1.892 1.980 2.167 2.185 2.132 2.107 2.313 2.330 2.121 ns
PLL
GCLK tco 3.017 3.233 4.581 4.971 5.480 5.344 5.556 5.103 5.614 5.479 5.625 ns
8mA GCLK
tco 1.305 1.483 1.887 1.975 2.161 2.179 2.126 2.101 2.307 2.324 2.115 ns
PLL
GCLK tco 3.029 3.247 4.602 4.990 5.502 5.366 5.578 5.122 5.636 5.501 5.647 ns
4mA GCLK
tco 1.320 1.494 1.904 1.994 2.189 2.207 2.154 2.124 2.333 2.350 2.141 ns
PLL
1.2-V GCLK tco 3.020 3.235 4.591 4.981 5.493 5.357 5.569 5.113 5.627 5.492 5.638 ns
HSTL 6mA GCLK
CLASS I tco 1.308 1.486 1.895 1.985 2.177 2.195 2.142 2.113 2.322 2.339 2.130 ns
PLL
GCLK tco 3.019 3.233 4.595 4.989 5.502 5.366 5.578 5.122 5.637 5.502 5.648 ns
8mA GCLK
tco 1.305 1.486 1.902 1.993 2.183 2.201 2.148 2.119 2.329 2.346 2.137 ns
PLL
GCLK tco 3.076 3.300 4.588 4.978 5.480 5.342 5.550 5.105 5.610 5.474 5.621 ns
3.0-V PCI — GCLK
tco 1.429 1.614 1.966 2.045 2.237 2.256 2.174 2.160 2.357 2.374 2.165 ns
PLL
GCLK tco 3.076 3.300 4.588 4.978 5.480 5.342 5.550 5.105 5.610 5.474 5.621 ns
3.0-V
— GCLK
PCI-X tco 1.429 1.614 1.966 2.045 2.237 2.256 2.174 2.160 2.357 2.374 2.165 ns
PLL
Table 1–55 through Table 1–60 list the maximum I/O timing parameters for EP3SL70
devices for differential I/O standards.
Table 1–55 lists the EP3SL70 column pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
GCLK
th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
LVDS
GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
GCLK
th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
MINI-LVDS
GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
GCLK
th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
RSDS
GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
GCLK
DIFFERENTIAL th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
1.2-V HSTL
CLASS I GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
DIFFERENTIAL GCLK
th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.2-V HSTL
CLASS II GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
GCLK
DIFFERENTIAL th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.5-V HSTL
CLASS I GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
DIFFERENTIAL GCLK
th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
1.5-V HSTL
CLASS II GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
DIFFERENTIAL GCLK
th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
1.8-V HSTL
CLASS I GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
DIFFERENTIAL GCLK
th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.8-V HSTL
CLASS II GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.717 -0.740 -1.091 -1.204 -1.329 -1.272 -1.559 -1.205 -1.329 -1.275 -1.595 ns
GCLK
DIFFERENTIAL th 0.834 0.872 1.277 1.411 1.557 1.489 1.775 1.420 1.565 1.502 1.810 ns
1.5-V SSTL
CLASS I GCLK tsu 1.123 1.136 1.802 2.031 2.244 2.131 2.137 2.041 2.255 2.140 2.186 ns
PLL th -0.872 -0.870 -1.404 -1.584 -1.746 -1.661 -1.661 -1.586 -1.749 -1.661 -1.709 ns
tsu -0.724 -0.746 -1.103 -1.209 -1.329 -1.274 -1.558 -1.209 -1.324 -1.273 -1.591 ns
DIFFERENTIAL GCLK
th 0.841 0.878 1.290 1.419 1.560 1.492 1.779 1.427 1.565 1.501 1.811 ns
1.5-V SSTL
CLASS II GCLK tsu 1.116 1.130 1.790 2.026 2.244 2.129 2.138 2.037 2.260 2.142 2.190 ns
PLL th -0.865 -0.864 -1.391 -1.576 -1.743 -1.658 -1.657 -1.579 -1.749 -1.662 -1.708 ns
tsu -0.724 -0.746 -1.103 -1.209 -1.329 -1.274 -1.558 -1.209 -1.324 -1.273 -1.591 ns
DIFFERENTIAL GCLK
th 0.841 0.878 1.290 1.419 1.560 1.492 1.779 1.427 1.565 1.501 1.811 ns
1.8-V SSTL
CLASS I GCLK tsu 1.116 1.130 1.790 2.026 2.244 2.129 2.138 2.037 2.260 2.142 2.190 ns
PLL th -0.865 -0.864 -1.391 -1.576 -1.743 -1.658 -1.657 -1.579 -1.749 -1.662 -1.708 ns
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
GCLK
DIFFERENTIAL th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
1.8-V SSTL
CLASS II GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.697 -0.717 -1.072 -1.182 -1.294 -1.237 -1.524 -1.183 -1.296 -1.242 -1.562 ns
DIFFERENTIAL GCLK
th 0.814 0.849 1.257 1.389 1.522 1.454 1.740 1.398 1.532 1.469 1.777 ns
2.5-V SSTL
CLASS I GCLK tsu 1.143 1.159 1.821 2.053 2.279 2.166 2.172 2.063 2.288 2.173 2.219 ns
PLL th -0.892 -0.893 -1.424 -1.606 -1.781 -1.696 -1.696 -1.608 -1.782 -1.694 -1.742 ns
tsu -0.705 -0.729 -1.082 -1.193 -1.310 -1.253 -1.540 -1.194 -1.311 -1.257 -1.577 ns
GCLK
DIFFERENTIAL th 0.822 0.861 1.267 1.400 1.538 1.470 1.756 1.409 1.547 1.484 1.792 ns
2.5-V SSTL
CLASS II GCLK tsu 1.135 1.147 1.811 2.042 2.263 2.150 2.156 2.052 2.273 2.158 2.204 ns
PLL th -0.884 -0.881 -1.414 -1.595 -1.765 -1.680 -1.680 -1.597 -1.767 -1.679 -1.727 ns
Table 1–56 lists the EP3SL70 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.919 -0.939 -0.988 -0.952 -1.089 -1.040 -1.316 -0.916 -1.048 -1.000 -1.349 ns
GCLK
th 1.042 1.077 1.209 1.205 1.369 1.306 1.580 1.182 1.339 1.280 1.613 ns
LVDS
GCLK tsu 0.882 0.896 1.863 2.243 2.446 2.322 2.342 2.291 2.500 2.376 2.393 ns
PLL th -0.625 -0.625 -1.430 -1.749 -1.896 -1.802 -1.817 -1.783 -1.936 -1.843 -1.865 ns
tsu -0.919 -0.939 -0.988 -0.952 -1.089 -1.040 -1.316 -0.916 -1.048 -1.000 -1.349 ns
GCLK
th 1.042 1.077 1.209 1.205 1.369 1.306 1.580 1.182 1.339 1.280 1.613 ns
MINI-LVDS
GCLK tsu 0.882 0.896 1.863 2.243 2.446 2.322 2.342 2.291 2.500 2.376 2.393 ns
PLL th -0.625 -0.625 -1.430 -1.749 -1.896 -1.802 -1.817 -1.783 -1.936 -1.843 -1.865 ns
tsu -0.919 -0.939 -0.988 -0.952 -1.089 -1.040 -1.316 -0.916 -1.048 -1.000 -1.349 ns
GCLK
th 1.042 1.077 1.209 1.205 1.369 1.306 1.580 1.182 1.339 1.280 1.613 ns
RSDS
GCLK tsu 0.882 0.896 1.863 2.243 2.446 2.322 2.342 2.291 2.500 2.376 2.393 ns
PLL th -0.625 -0.625 -1.430 -1.749 -1.896 -1.802 -1.817 -1.783 -1.936 -1.843 -1.865 ns
tsu -0.734 -0.764 -1.085 -1.186 -1.286 -1.234 -1.505 -1.193 -1.291 -1.242 -1.543 ns
GCLK
DIFFERENTIAL th 0.850 0.893 1.274 1.394 1.516 1.451 1.720 1.410 1.531 1.470 1.758 ns
1.2-V
HSTL CLASS I GCLK tsu 1.077 1.081 1.776 2.019 2.257 2.138 2.163 2.024 2.263 2.144 2.209 ns
PLL th -0.827 -0.819 -1.375 -1.570 -1.756 -1.667 -1.687 -1.565 -1.751 -1.663 -1.730 ns
tsu -0.734 -0.764 -1.085 -1.186 -1.286 -1.234 -1.505 -1.193 -1.291 -1.242 -1.543 ns
GCLK
DIFFERENTIAL th 0.850 0.893 1.274 1.394 1.516 1.451 1.720 1.410 1.531 1.470 1.758 ns
1.2-V
HSTL CLASS II GCLK tsu 1.077 1.081 1.776 2.019 2.257 2.138 2.163 2.024 2.263 2.144 2.209 ns
PLL th -0.827 -0.819 -1.375 -1.570 -1.756 -1.667 -1.687 -1.565 -1.751 -1.663 -1.730 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
HSTL CLASS I GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
HSTL CLASS II GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
HSTL CLASS I GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
HSTL CLASS II GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
SSTL CLASS I GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.743 -0.776 -1.094 -1.196 -1.302 -1.250 -1.521 -1.202 -1.307 -1.258 -1.559 ns
GCLK
DIFFERENTIAL th 0.859 0.905 1.283 1.404 1.532 1.467 1.736 1.419 1.547 1.486 1.774 ns
1.5-V
SSTL CLASS II GCLK tsu 1.068 1.069 1.767 2.009 2.241 2.122 2.147 2.015 2.247 2.128 2.193 ns
PLL th -0.818 -0.807 -1.366 -1.560 -1.740 -1.651 -1.671 -1.556 -1.735 -1.647 -1.714 ns
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
SSTL CLASS I GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
tsu -0.757 -0.788 -1.107 -1.206 -1.320 -1.268 -1.539 -1.213 -1.324 -1.275 -1.576 ns
GCLK
DIFFERENTIAL th 0.873 0.917 1.295 1.414 1.550 1.485 1.754 1.430 1.564 1.503 1.791 ns
1.8-V
SSTL CLASS II GCLK tsu 1.054 1.057 1.754 1.999 2.223 2.104 2.129 2.004 2.230 2.111 2.176 ns
PLL th -0.804 -0.795 -1.354 -1.550 -1.722 -1.633 -1.653 -1.545 -1.718 -1.630 -1.697 ns
tsu -0.756 -0.787 -1.112 -1.212 -1.321 -1.270 -1.540 -1.214 -1.320 -1.273 -1.573 ns
GCLK
DIFFERENTIAL th 0.872 0.916 1.300 1.422 1.554 1.489 1.759 1.434 1.563 1.502 1.792 ns
2.5-V
SSTL CLASS I GCLK tsu 1.045 1.048 1.739 1.983 2.212 2.092 2.118 1.993 2.224 2.103 2.169 ns
PLL th -0.795 -0.786 -1.339 -1.532 -1.708 -1.619 -1.638 -1.531 -1.709 -1.621 -1.686 ns
tsu -0.756 -0.787 -1.112 -1.212 -1.321 -1.270 -1.540 -1.214 -1.320 -1.273 -1.573 ns
GCLK
DIFFERENTIAL th 0.872 0.916 1.300 1.422 1.554 1.489 1.759 1.434 1.563 1.502 1.792 ns
2.5-V
SSTL CLASS II GCLK tsu 1.045 1.048 1.739 1.983 2.212 2.092 2.118 1.993 2.224 2.103 2.169 ns
PLL th -0.795 -0.786 -1.339 -1.532 -1.708 -1.619 -1.638 -1.531 -1.709 -1.621 -1.686 ns
Table 1–57 lists the EP3SL70 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock
VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Units
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
LVDS_E_1R — GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
LVDS_E_3R — GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
MINI-
— GCLK
LVDS_E_1R tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.056 3.279 4.646 5.054 5.571 5.431 5.649 5.181 5.698 5.560 5.717 ns
MINI-
— GCLK
LVDS_E_3R tco 3.046 3.269 4.636 5.043 5.561 5.421 5.639 5.170 5.688 5.550 5.707 ns
PLL
GCLK tco 3.046 3.269 4.639 5.047 5.565 5.425 5.643 5.175 5.693 5.555 5.712 ns
RSDS_E_1R — GCLK
tco 3.039 3.263 4.632 5.041 5.559 5.419 5.637 5.168 5.687 5.549 5.706 ns
PLL
GCLK tco 3.038 3.261 4.629 5.038 5.556 5.416 5.634 5.165 5.683 5.545 5.702 ns
RSDS_E_3R — GCLK
tco 3.060 3.283 4.650 5.058 5.575 5.435 5.653 5.185 5.703 5.565 5.722 ns
PLL
GCLK tco 3.050 3.272 4.629 5.035 5.550 5.410 5.628 5.161 5.676 5.538 5.695 ns
4mA GCLK
tco 3.045 3.268 4.629 5.035 5.551 5.411 5.629 5.162 5.678 5.540 5.697 ns
PLL
GCLK tco 3.043 3.266 4.628 5.034 5.549 5.409 5.627 5.161 5.677 5.539 5.696 ns
6mA GCLK
tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
DIFFERENTIAL GCLK tco 3.036 3.259 4.624 5.031 5.548 5.408 5.626 5.159 5.676 5.538 5.695 ns
1.2-V HSTL 8mA GCLK
CLASS I tco 3.035 3.256 4.607 5.012 5.526 5.386 5.604 5.138 5.652 5.514 5.671 ns
PLL
GCLK tco 3.047 3.269 4.625 5.030 5.544 5.404 5.622 5.157 5.671 5.533 5.690 ns
10mA GCLK
tco 3.043 3.266 4.626 5.032 5.548 5.408 5.626 5.159 5.675 5.537 5.694 ns
PLL
GCLK tco 3.033 3.255 4.615 5.021 5.536 5.396 5.614 5.148 5.663 5.525 5.682 ns
12mA GCLK
tco 3.031 3.253 4.613 5.018 5.534 5.394 5.612 5.146 5.661 5.523 5.680 ns
PLL
DIFFERENTIAL GCLK tco 3.031 3.254 4.616 5.023 5.539 5.399 5.617 5.150 5.667 5.529 5.686 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 3.035 3.257 4.613 5.018 5.533 5.393 5.611 5.145 5.660 5.522 5.679 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.061 3.286 4.658 5.066 5.583 5.443 5.661 5.193 5.710 5.572 5.729 ns
4mA GCLK
tco 3.047 3.272 4.646 5.055 5.573 5.433 5.651 5.183 5.701 5.563 5.720 ns
PLL
GCLK tco 3.035 3.259 4.629 5.037 5.555 5.415 5.633 5.165 5.683 5.545 5.702 ns
6mA GCLK
tco 3.035 3.259 4.632 5.041 5.559 5.419 5.637 5.169 5.688 5.550 5.707 ns
PLL
DIFFERENTIAL GCLK tco 3.031 3.255 4.625 5.033 5.552 5.412 5.630 5.162 5.680 5.542 5.699 ns
1.5-V HSTL 8mA GCLK
CLASS I tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
GCLK tco 3.036 3.259 4.626 5.034 5.551 5.411 5.629 5.161 5.679 5.541 5.698 ns
10mA GCLK
tco 3.064 3.289 4.657 5.064 5.581 5.441 5.659 5.192 5.708 5.570 5.727 ns
PLL
GCLK tco 3.053 3.277 4.645 5.052 5.569 5.429 5.647 5.180 5.696 5.558 5.715 ns
12mA GCLK
tco 3.048 3.273 4.645 5.053 5.570 5.430 5.648 5.181 5.698 5.560 5.717 ns
PLL
DIFFERENTIAL GCLK tco 3.034 3.258 4.627 5.034 5.551 5.411 5.629 5.162 5.680 5.542 5.699 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 3.032 3.256 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
GCLK tco 3.036 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
4mA GCLK
tco 3.036 3.259 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
GCLK tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
6mA GCLK
tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
PLL
DIFFERENTIAL GCLK tco 3.042 3.266 4.631 5.037 5.553 5.413 5.631 5.165 5.681 5.543 5.700 ns
1.8-V HSTL 8mA GCLK
CLASS I tco 3.035 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
10mA GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
12mA GCLK
tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
DIFFERENTIAL GCLK tco 3.029 3.246 4.575 4.976 5.487 5.347 5.565 5.101 5.612 5.474 5.631 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 3.025 3.249 4.622 5.031 5.549 5.409 5.627 5.160 5.678 5.540 5.697 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.056 3.279 4.646 5.054 5.571 5.431 5.649 5.181 5.698 5.560 5.717 ns
4mA GCLK
tco 3.046 3.269 4.636 5.043 5.561 5.421 5.639 5.170 5.688 5.550 5.707 ns
PLL
GCLK tco 3.046 3.269 4.639 5.047 5.565 5.425 5.643 5.175 5.693 5.555 5.712 ns
6mA GCLK
tco 3.039 3.263 4.632 5.041 5.559 5.419 5.637 5.168 5.687 5.549 5.706 ns
PLL
DIFFERENTIAL
GCLK tco 3.038 3.261 4.629 5.038 5.556 5.416 5.634 5.165 5.683 5.545 5.702 ns
1.5-V SSTL
CLASS I 8mA GCLK
tco 3.060 3.283 4.650 5.058 5.575 5.435 5.653 5.185 5.703 5.565 5.722 ns
PLL
GCLK tco 3.050 3.272 4.629 5.035 5.550 5.410 5.628 5.161 5.676 5.538 5.695 ns
10mA GCLK
tco 3.045 3.268 4.629 5.035 5.551 5.411 5.629 5.162 5.678 5.540 5.697 ns
PLL
GCLK tco 3.043 3.266 4.628 5.034 5.549 5.409 5.627 5.161 5.677 5.539 5.696 ns
12mA GCLK
tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
GCLK tco 3.036 3.259 4.624 5.031 5.548 5.408 5.626 5.159 5.676 5.538 5.695 ns
DIFFERENTIAL 8mA GCLK
1.5-V SSTL tco 3.035 3.256 4.607 5.012 5.526 5.386 5.604 5.138 5.652 5.514 5.671 ns
PLL
CLASS II GCLK tco 3.047 3.269 4.625 5.030 5.544 5.404 5.622 5.157 5.671 5.533 5.690 ns
16mA GCLK
tco 3.043 3.266 4.626 5.032 5.548 5.408 5.626 5.159 5.675 5.537 5.694 ns
PLL
GCLK tco 3.033 3.255 4.615 5.021 5.536 5.396 5.614 5.148 5.663 5.525 5.682 ns
4mA GCLK
tco 3.031 3.253 4.613 5.018 5.534 5.394 5.612 5.146 5.661 5.523 5.680 ns
PLL
GCLK tco 3.031 3.254 4.616 5.023 5.539 5.399 5.617 5.150 5.667 5.529 5.686 ns
6mA GCLK
tco 3.035 3.257 4.613 5.018 5.533 5.393 5.611 5.145 5.660 5.522 5.679 ns
PLL
DIFFERENTIAL
GCLK tco 3.061 3.286 4.658 5.066 5.583 5.443 5.661 5.193 5.710 5.572 5.729 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 3.047 3.272 4.646 5.055 5.573 5.433 5.651 5.183 5.701 5.563 5.720 ns
PLL
GCLK tco 3.035 3.259 4.629 5.037 5.555 5.415 5.633 5.165 5.683 5.545 5.702 ns
10mA GCLK
tco 3.035 3.259 4.632 5.041 5.559 5.419 5.637 5.169 5.688 5.550 5.707 ns
PLL
GCLK tco 3.031 3.255 4.625 5.033 5.552 5.412 5.630 5.162 5.680 5.542 5.699 ns
12mA GCLK
tco 3.035 3.257 4.618 5.024 5.540 5.400 5.618 5.151 5.667 5.529 5.686 ns
PLL
GCLK tco 3.036 3.259 4.626 5.034 5.551 5.411 5.629 5.161 5.679 5.541 5.698 ns
DIFFERENTIAL 8mA GCLK
tco 3.064 3.289 4.657 5.064 5.581 5.441 5.659 5.192 5.708 5.570 5.727 ns
1.8-V SSTL PLL
CLASS II GCLK tco 3.053 3.277 4.645 5.052 5.569 5.429 5.647 5.180 5.696 5.558 5.715 ns
16mA GCLK
tco 3.048 3.273 4.645 5.053 5.570 5.430 5.648 5.181 5.698 5.560 5.717 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.034 3.258 4.627 5.034 5.551 5.411 5.629 5.162 5.680 5.542 5.699 ns
8mA GCLK
tco 3.032 3.256 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
DIFFERENTIAL GCLK tco 3.036 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
2.5-V SSTL 10mA GCLK
CLASS I tco 3.036 3.259 4.625 5.032 5.549 5.409 5.627 5.160 5.677 5.539 5.696 ns
PLL
GCLK tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
12mA GCLK
tco 3.052 3.276 4.641 5.047 5.563 5.423 5.641 5.175 5.690 5.552 5.709 ns
PLL
DIFFERENTIAL GCLK tco 3.042 3.266 4.631 5.037 5.553 5.413 5.631 5.165 5.681 5.543 5.700 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 3.035 3.258 4.617 5.022 5.537 5.397 5.615 5.149 5.664 5.526 5.683 ns
PLL
Table 1–58 lists the EP3SL70 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
LVDS — GCLK
tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
PLL
GCLK tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
LVDS_E_1R — GCLK
tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
PLL
GCLK tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
LVDS_E_3R — GCLK
tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
PLL
GCLK tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
MINI-LVDS — GCLK
tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
PLL
GCLK tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
MINI-
— GCLK
LVDS_E_1R tco 3.098 3.331 4.730 5.145 5.672 5.528 5.727 5.280 5.809 5.665 5.794 ns
PLL
GCLK tco 3.084 3.317 4.717 5.132 5.659 5.515 5.714 5.266 5.796 5.652 5.781 ns
MINI-
— GCLK
LVDS_E_3R tco 3.080 3.313 4.715 5.132 5.660 5.516 5.715 5.266 5.798 5.654 5.783 ns
PLL
GCLK tco 3.096 3.328 4.716 5.129 5.654 5.510 5.709 5.263 5.791 5.647 5.776 ns
RSDS — GCLK
tco 3.085 3.318 4.712 5.125 5.651 5.507 5.706 5.260 5.788 5.644 5.773 ns
PLL
GCLK tco 3.082 3.315 4.710 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
RSDS_E_1R — GCLK
tco 3.093 3.325 4.711 5.124 5.648 5.504 5.703 5.257 5.785 5.641 5.770 ns
PLL
GCLK tco 3.083 3.316 4.709 5.122 5.647 5.503 5.702 5.257 5.785 5.641 5.770 ns
RSDS_E_3R — GCLK
tco 3.069 3.302 4.694 5.107 5.633 5.489 5.688 5.242 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 3.066 3.298 4.690 5.103 5.629 5.485 5.684 5.238 5.766 5.622 5.751 ns
1.2-V 4mA GCLK
HSTL CLASS I tco 3.063 3.296 4.691 5.106 5.632 5.488 5.687 5.241 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 3.064 3.296 4.681 5.094 5.619 5.475 5.674 5.228 5.756 5.612 5.741 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 3.113 3.349 4.752 5.167 5.695 5.551 5.750 5.302 5.832 5.688 5.817 ns
PLL
DIFFERENTIAL GCLK tco 3.089 3.325 4.734 5.150 5.678 5.534 5.733 5.285 5.817 5.673 5.802 ns
1.2-V 8mA GCLK
HSTL CLASS I tco 3.071 3.306 4.712 5.128 5.656 5.512 5.711 5.263 5.795 5.651 5.780 ns
PLL
DIFFERENTIAL GCLK tco 3.117 3.352 4.752 5.167 5.694 5.550 5.749 5.302 5.832 5.688 5.817 ns
1.5-V 4mA GCLK
HSTL CLASS I tco 3.102 3.337 4.738 5.152 5.679 5.535 5.734 5.287 5.817 5.673 5.802 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
DIFFERENTIAL GCLK tco 3.091 3.326 4.733 5.149 5.676 5.532 5.731 5.284 5.815 5.671 5.800 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 3.071 3.306 4.710 5.125 5.653 5.509 5.708 5.261 5.791 5.647 5.776 ns
PLL
DIFFERENTIAL GCLK tco 3.068 3.302 4.706 5.122 5.649 5.505 5.704 5.257 5.788 5.644 5.773 ns
1.5-V 8mA GCLK
HSTL CLASS I tco 3.073 3.306 4.697 5.110 5.635 5.491 5.690 5.244 5.772 5.628 5.757 ns
PLL
DIFFERENTIAL GCLK tco 3.066 3.299 4.696 5.111 5.638 5.494 5.693 5.247 5.777 5.633 5.762 ns
1.8-V 4mA GCLK
HSTL CLASS I tco 3.094 3.328 4.724 5.138 5.664 5.520 5.719 5.273 5.802 5.658 5.787 ns
PLL
DIFFERENTIAL GCLK tco 3.076 3.311 4.709 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
1.8-V 6mA GCLK
HSTL CLASS I tco 3.062 3.295 4.686 5.099 5.624 5.480 5.679 5.234 5.762 5.618 5.747 ns
PLL
DIFFERENTIAL GCLK tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
1.8-V 8mA GCLK
HSTL CLASS I tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
PLL
DIFFERENTIAL GCLK tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
1.8-V 10mA GCLK
HSTL CLASS I tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
PLL
DIFFERENTIAL GCLK tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
1.8-V 12mA GCLK
HSTL CLASS I tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 2.668 2.842 3.979 4.346 4.821 4.685 4.892 4.453 4.930 4.795 4.940 ns
1.8-V 16mA GCLK
HSTL CLASS II tco 3.062 3.288 4.646 5.055 5.575 5.431 5.630 5.186 5.709 5.565 5.694 ns
PLL
DIFFERENTIAL GCLK tco 3.044 3.278 4.684 5.101 5.629 5.485 5.684 5.237 5.770 5.626 5.755 ns
1.5-V 4mA GCLK
SSTL CLASS I tco 3.098 3.331 4.730 5.145 5.672 5.528 5.727 5.280 5.809 5.665 5.794 ns
PLL
DIFFERENTIAL GCLK tco 3.084 3.317 4.717 5.132 5.659 5.515 5.714 5.266 5.796 5.652 5.781 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 3.080 3.313 4.715 5.132 5.660 5.516 5.715 5.266 5.798 5.654 5.783 ns
PLL
DIFFERENTIAL GCLK tco 3.096 3.328 4.716 5.129 5.654 5.510 5.709 5.263 5.791 5.647 5.776 ns
1.5-V 8mA GCLK
SSTL CLASS I tco 3.085 3.318 4.712 5.125 5.651 5.507 5.706 5.260 5.788 5.644 5.773 ns
PLL
DIFFERENTIAL GCLK tco 3.082 3.315 4.710 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
1.8-V 4mA GCLK
SSTL CLASS I tco 3.093 3.325 4.711 5.124 5.648 5.504 5.703 5.257 5.785 5.641 5.770 ns
PLL
DIFFERENTIAL GCLK tco 3.083 3.316 4.709 5.122 5.647 5.503 5.702 5.257 5.785 5.641 5.770 ns
1.8-V 6mA GCLK
SSTL CLASS I tco 3.069 3.302 4.694 5.107 5.633 5.489 5.688 5.242 5.770 5.626 5.755 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
DIFFERENTIAL GCLK tco 3.066 3.298 4.690 5.103 5.629 5.485 5.684 5.238 5.766 5.622 5.751 ns
1.8-V 8mA GCLK
SSTL CLASS I tco 3.063 3.296 4.691 5.106 5.632 5.488 5.687 5.241 5.770 5.626 5.755 ns
PLL
DIFFERENTIAL GCLK tco 3.064 3.296 4.681 5.094 5.619 5.475 5.674 5.228 5.756 5.612 5.741 ns
1.8-V 10mA GCLK
SSTL CLASS I tco 3.113 3.349 4.752 5.167 5.695 5.551 5.750 5.302 5.832 5.688 5.817 ns
PLL
DIFFERENTIAL GCLK tco 3.089 3.325 4.734 5.150 5.678 5.534 5.733 5.285 5.817 5.673 5.802 ns
1.8-V 12mA GCLK
SSTL CLASS I tco 3.071 3.306 4.712 5.128 5.656 5.512 5.711 5.263 5.795 5.651 5.780 ns
PLL
DIFFERENTIAL GCLK tco 3.117 3.352 4.752 5.167 5.694 5.550 5.749 5.302 5.832 5.688 5.817 ns
1.8-V 8mA GCLK
SSTL CLASS II tco 3.102 3.337 4.738 5.152 5.679 5.535 5.734 5.287 5.817 5.673 5.802 ns
PLL
DIFFERENTIAL GCLK tco 3.091 3.326 4.733 5.149 5.676 5.532 5.731 5.284 5.815 5.671 5.800 ns
1.8-V 16mA GCLK
SSTL CLASS II tco 3.071 3.306 4.710 5.125 5.653 5.509 5.708 5.261 5.791 5.647 5.776 ns
PLL
DIFFERENTIAL GCLK tco 3.068 3.302 4.706 5.122 5.649 5.505 5.704 5.257 5.788 5.644 5.773 ns
2.5-V 8mA GCLK
SSTL CLASS I tco 3.073 3.306 4.697 5.110 5.635 5.491 5.690 5.244 5.772 5.628 5.757 ns
PLL
DIFFERENTIAL GCLK tco 3.066 3.299 4.696 5.111 5.638 5.494 5.693 5.247 5.777 5.633 5.762 ns
2.5-V 12mA GCLK
SSTL CLASS I tco 3.094 3.328 4.724 5.138 5.664 5.520 5.719 5.273 5.802 5.658 5.787 ns
PLL
DIFFERENTIAL GCLK tco 3.076 3.311 4.709 5.123 5.649 5.505 5.704 5.258 5.787 5.643 5.772 ns
2.5-V 16mA GCLK
SSTL CLASS II tco 3.062 3.295 4.686 5.099 5.624 5.480 5.679 5.234 5.762 5.618 5.747 ns
PLL
Table 1–59 and Table 1–60 list the EP3SL70 regional clock (RCLK) adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–59 lists the EP3SL70 column pin delay adders when using the regional clock.
Table 1–59. EP3SL70 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.158 0.168 0.225 0.241 0.257 0.247 0.313 0.244 0.258 0.252 0.315 ns
RCLK PLL input adder -0.014 -0.012 -0.007 -0.003 -0.002 -0.005 0.191 -0.003 -0.003 -0.004 0.191 ns
RCLK output adder -0.114 -0.116 -0.137 -0.139 -0.141 -0.137 -0.215 -0.132 -0.133 -0.136 -0.215 ns
RCLK PLL output adder 1.642 1.675 2.599 2.912 3.223 3.071 3.22 2.931 3.238 3.083 3.338 ns
Table 1–60 lists the EP3SL70 row pin delay adders when using the regional clock.
Table 1–60. EP3SL70 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.111 0.123 0.177 0.192 0.207 0.198 0.263 0.194 0.212 0.201 0.266 ns
RCLK PLL input adder 0.099 0.105 0.156 0.175 0.195 0.185 0.263 0.177 0.195 0.188 0.263 ns
RCLK output adder -0.113 -0.127 -0.183 -0.198 -0.213 -0.205 -0.272 -0.202 -0.216 -0.21 -0.273 ns
RCLK PLL output adder -0.107 -0.112 -0.164 -0.185 -0.202 -0.193 -0.258 -0.184 -0.204 -0.197 -0.257 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.943 -0.943 -1.381 -1.502 -1.698 -1.643 -1.996 -1.502 -1.698 -1.643 -1.996 ns
GCLK
th 1.081 1.081 1.572 1.717 1.934 1.866 2.221 1.717 1.934 1.866 2.221 ns
1.8 V
GCLK tsu -1.262 -1.202 -1.752 -1.990 -2.226 -2.151 -2.486 -1.990 -2.226 -2.151 -2.486 ns
PLL th 1.542 1.488 2.164 2.444 2.726 2.626 2.987 2.444 2.726 2.626 2.987 ns
tsu -0.933 -0.933 -1.358 -1.470 -1.628 -1.573 -1.926 -1.470 -1.628 -1.573 -1.926 ns
GCLK
th 1.071 1.071 1.549 1.685 1.864 1.796 2.151 1.685 1.864 1.796 2.151 ns
1.5 V
GCLK tsu -1.252 -1.192 -1.729 -1.958 -2.156 -2.081 -2.416 -1.958 -2.156 -2.081 -2.416 ns
PLL th 1.532 1.478 2.141 2.412 2.656 2.556 2.917 2.412 2.656 2.556 2.917 ns
tsu -0.881 -0.881 -1.281 -1.371 -1.472 -1.417 -1.770 -1.371 -1.472 -1.417 -1.770 ns
GCLK
th 1.019 1.019 1.472 1.586 1.708 1.640 1.995 1.586 1.708 1.640 1.995 ns
1.2 V
GCLK tsu -1.200 -1.140 -1.652 -1.859 -2.000 -1.925 -2.260 -1.859 -2.000 -1.925 -2.260 ns
PLL th 1.480 1.426 2.064 2.313 2.500 2.400 2.761 2.313 2.500 2.400 2.761 ns
tsu -0.852 -0.852 -1.253 -1.355 -1.474 -1.419 -1.772 -1.355 -1.474 -1.419 -1.772 ns
GCLK
SSTL-2 th 0.990 0.990 1.444 1.570 1.710 1.642 1.997 1.570 1.710 1.642 1.997 ns
CLASS I tsu -1.171 -1.111 -1.624 -1.843 -2.002 -1.927 -2.262 -1.843 -2.002 -1.927 -2.262 ns
GCLK
PLL th 1.451 1.397 2.036 2.297 2.502 2.402 2.763 2.297 2.502 2.402 2.763 ns
tsu -0.852 -0.852 -1.253 -1.355 -1.474 -1.419 -1.772 -1.355 -1.474 -1.419 -1.772 ns
GCLK
SSTL-2 th 0.990 0.990 1.444 1.570 1.710 1.642 1.997 1.570 1.710 1.642 1.997 ns
CLASS II tsu -1.171 -1.111 -1.624 -1.843 -2.002 -1.927 -2.262 -1.843 -2.002 -1.927 -2.262 ns
GCLK
PLL th 1.451 1.397 2.036 2.297 2.502 2.402 2.763 2.297 2.502 2.402 2.763 ns
tsu -0.846 -0.846 -1.241 -1.350 -1.474 -1.417 -1.773 -1.350 -1.474 -1.417 -1.773 ns
GCLK
SSTL-18 th 0.984 0.984 1.431 1.562 1.707 1.639 1.993 1.562 1.707 1.639 1.993 ns
CLASS I tsu -1.165 -1.105 -1.612 -1.835 -1.999 -1.922 -2.263 -1.835 -1.999 -1.922 -2.263 ns
GCLK
PLL th 1.445 1.391 2.023 2.286 2.496 2.396 2.759 2.286 2.496 2.396 2.759 ns
tsu -0.846 -0.846 -1.241 -1.350 -1.474 -1.417 -1.773 -1.350 -1.474 -1.417 -1.773 ns
GCLK
SSTL-18 th 0.984 0.984 1.431 1.562 1.707 1.639 1.993 1.562 1.707 1.639 1.993 ns
CLASS II tsu -1.165 -1.105 -1.612 -1.835 -1.999 -1.922 -2.263 -1.835 -1.999 -1.922 -2.263 ns
GCLK
PLL th 1.445 1.391 2.023 2.286 2.496 2.396 2.759 2.286 2.496 2.396 2.759 ns
tsu -0.835 -0.835 -1.232 -1.339 -1.455 -1.398 -1.754 -1.339 -1.455 -1.398 -1.754 ns
GCLK
SSTL-15 th 0.973 0.973 1.421 1.551 1.688 1.620 1.974 1.551 1.688 1.620 1.974 ns
CLASS I tsu -1.154 -1.094 -1.603 -1.824 -1.980 -1.903 -2.244 -1.824 -1.980 -1.903 -2.244 ns
GCLK
PLL th 1.434 1.380 2.013 2.275 2.477 2.377 2.740 2.275 2.477 2.377 2.740 ns
tsu -0.835 -0.835 -1.232 -1.339 -1.455 -1.398 -1.754 -1.339 -1.455 -1.398 -1.754 ns
GCLK
1.8-V HSTL th 0.973 0.973 1.421 1.551 1.688 1.620 1.974 1.551 1.688 1.620 1.974 ns
CLASS I tsu -1.154 -1.094 -1.603 -1.824 -1.980 -1.903 -2.244 -1.824 -1.980 -1.903 -2.244 ns
GCLK
PLL th 1.434 1.380 2.013 2.275 2.477 2.377 2.740 2.275 2.477 2.377 2.740 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.846 -0.846 -1.241 -1.350 -1.474 -1.417 -1.773 -1.350 -1.474 -1.417 -1.773 ns
GCLK
1.8-V HSTL th 0.984 0.984 1.431 1.562 1.707 1.639 1.993 1.562 1.707 1.639 1.993 ns
CLASS II tsu -1.165 -1.105 -1.612 -1.835 -1.999 -1.922 -2.263 -1.835 -1.999 -1.922 -2.263 ns
GCLK
PLL th 1.445 1.391 2.023 2.286 2.496 2.396 2.759 2.286 2.496 2.396 2.759 ns
tsu -0.846 -0.846 -1.241 -1.350 -1.474 -1.417 -1.773 -1.350 -1.474 -1.417 -1.773 ns
GCLK
1.5-V HSTL th 0.984 0.984 1.431 1.562 1.707 1.639 1.993 1.562 1.707 1.639 1.993 ns
CLASS I tsu -1.165 -1.105 -1.612 -1.835 -1.999 -1.922 -2.263 -1.835 -1.999 -1.922 -2.263 ns
GCLK
PLL th 1.445 1.391 2.023 2.286 2.496 2.396 2.759 2.286 2.496 2.396 2.759 ns
tsu -0.835 -0.835 -1.232 -1.339 -1.455 -1.398 -1.754 -1.339 -1.455 -1.398 -1.754 ns
GCLK
1.5-V HSTL th 0.973 0.973 1.421 1.551 1.688 1.620 1.974 1.551 1.688 1.620 1.974 ns
CLASS II tsu -1.154 -1.094 -1.603 -1.824 -1.980 -1.903 -2.244 -1.824 -1.980 -1.903 -2.244 ns
GCLK
PLL th 1.434 1.380 2.013 2.275 2.477 2.377 2.740 2.275 2.477 2.377 2.740 ns
tsu -0.835 -0.835 -1.232 -1.339 -1.455 -1.398 -1.754 -1.339 -1.455 -1.398 -1.754 ns
GCLK
1.2-V HSTL th 0.973 0.973 1.421 1.551 1.688 1.620 1.974 1.551 1.688 1.620 1.974 ns
CLASS I tsu -1.154 -1.094 -1.603 -1.824 -1.980 -1.903 -2.244 -1.824 -1.980 -1.903 -2.244 ns
GCLK
PLL th 1.434 1.380 2.013 2.275 2.477 2.377 2.740 2.275 2.477 2.377 2.740 ns
tsu -0.823 -0.823 -1.222 -1.328 -1.439 -1.382 -1.738 -1.328 -1.439 -1.382 -1.738 ns
GCLK
1.2-V HSTL th 0.961 0.961 1.411 1.540 1.672 1.604 1.958 1.540 1.672 1.604 1.958 ns
CLASS II tsu -1.142 -1.082 -1.593 -1.813 -1.964 -1.887 -2.228 -1.813 -1.964 -1.887 -2.228 ns
GCLK
PLL th 1.422 1.368 2.003 2.264 2.461 2.361 2.724 2.264 2.461 2.361 2.724 ns
tsu -0.823 -0.823 -1.222 -1.328 -1.439 -1.382 -1.738 -1.328 -1.439 -1.382 -1.738 ns
GCLK
th 0.961 0.961 1.411 1.540 1.672 1.604 1.958 1.540 1.672 1.604 1.958 ns
3.0-V PCI
GCLK tsu -1.142 -1.082 -1.593 -1.813 -1.964 -1.887 -2.228 -1.813 -1.964 -1.887 -2.228 ns
PLL th 1.422 1.368 2.003 2.264 2.461 2.361 2.724 2.264 2.461 2.361 2.724 ns
tsu -0.928 -0.928 -1.332 -1.454 -1.681 -1.626 -1.979 -1.454 -1.681 -1.626 -1.979 ns
GCLK
3.0-V th 1.064 1.064 1.523 1.669 1.917 1.849 2.204 1.669 1.917 1.849 2.204 ns
PCI-X tsu -1.245 -1.187 -1.703 -1.942 -2.209 -2.134 -2.469 -1.942 -2.209 -2.134 -2.469 ns
GCLK
PLL th 1.523 1.471 2.115 2.396 2.709 2.609 2.970 2.396 2.709 2.609 2.970 ns
Table 1–62 lists the EP3SL110 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.910 -0.883 -1.252 -1.441 -1.559 -1.604 -1.843 -1.465 -1.551 -1.605 -1.881 ns
GCLK
th 1.025 1.015 1.440 1.648 1.792 1.822 2.066 1.682 1.794 1.831 2.104 ns
3.3-V LVTTL
GCLK tsu 0.992 1.013 1.646 1.862 1.976 1.860 1.804 1.870 1.998 1.880 1.856 ns
PLL th -0.741 -0.746 -1.246 -1.412 -1.475 -1.388 -1.322 -1.411 -1.486 -1.398 -1.371 ns
tsu -0.910 -0.883 -1.252 -1.441 -1.559 -1.604 -1.843 -1.465 -1.551 -1.605 -1.881 ns
GCLK
3.3-V th 1.025 1.015 1.440 1.648 1.792 1.822 2.066 1.682 1.794 1.831 2.104 ns
LVCMOS tsu 0.992 1.013 1.646 1.862 1.976 1.860 1.804 1.870 1.998 1.880 1.856 ns
GCLK
PLL th -0.741 -0.746 -1.246 -1.412 -1.475 -1.388 -1.322 -1.411 -1.486 -1.398 -1.371 ns
tsu -0.916 -0.894 -1.249 -1.442 -1.562 -1.607 -1.846 -1.464 -1.556 -1.610 -1.886 ns
GCLK
th 1.031 1.026 1.437 1.649 1.795 1.825 2.069 1.681 1.799 1.836 2.109 ns
3.0-V LVTTL
GCLK tsu 0.986 1.002 1.649 1.861 1.973 1.857 1.801 1.871 1.993 1.875 1.851 ns
PLL th -0.735 -0.735 -1.249 -1.411 -1.472 -1.385 -1.319 -1.412 -1.481 -1.393 -1.366 ns
tsu -0.916 -0.894 -1.249 -1.442 -1.562 -1.607 -1.846 -1.464 -1.556 -1.610 -1.886 ns
GCLK
3.0-V th 1.031 1.026 1.437 1.649 1.795 1.825 2.069 1.681 1.799 1.836 2.109 ns
LVCMOS tsu 0.986 1.002 1.649 1.861 1.973 1.857 1.801 1.871 1.993 1.875 1.851 ns
GCLK
PLL th -0.735 -0.735 -1.249 -1.411 -1.472 -1.385 -1.319 -1.412 -1.481 -1.393 -1.366 ns
tsu -0.904 -0.887 -1.258 -1.455 -1.577 -1.622 -1.861 -1.473 -1.566 -1.620 -1.896 ns
GCLK
th 1.019 1.019 1.446 1.662 1.810 1.840 2.084 1.690 1.809 1.846 2.119 ns
2.5 V
GCLK tsu 0.998 1.009 1.640 1.848 1.958 1.842 1.786 1.862 1.983 1.865 1.841 ns
PLL th -0.747 -0.742 -1.240 -1.398 -1.457 -1.370 -1.304 -1.403 -1.471 -1.383 -1.356 ns
tsu -0.873 -0.918 -1.298 -1.402 -1.575 -1.530 -1.859 -1.402 -1.567 -1.526 -1.897 ns
GCLK
th 0.990 1.051 1.486 1.612 1.808 1.751 2.082 1.622 1.810 1.756 2.120 ns
1.8 V
GCLK tsu 0.968 0.977 1.600 1.815 1.960 1.844 1.788 1.829 1.982 1.864 1.840 ns
PLL th -0.716 -0.709 -1.200 -1.365 -1.459 -1.372 -1.306 -1.370 -1.470 -1.382 -1.355 ns
tsu -0.863 -0.907 -1.274 -1.370 -1.507 -1.462 -1.791 -1.371 -1.502 -1.461 -1.832 ns
GCLK
th 0.980 1.040 1.462 1.580 1.740 1.683 2.014 1.591 1.745 1.691 2.055 ns
1.5 V
GCLK tsu 0.978 0.988 1.624 1.847 2.028 1.912 1.856 1.860 2.047 1.929 1.905 ns
PLL th -0.726 -0.720 -1.224 -1.397 -1.527 -1.440 -1.374 -1.401 -1.535 -1.447 -1.420 ns
tsu -0.803 -0.854 -1.195 -1.269 -1.348 -1.303 -1.632 -1.275 -1.347 -1.306 -1.677 ns
GCLK
th 0.920 0.987 1.383 1.479 1.581 1.524 1.855 1.495 1.590 1.536 1.900 ns
1.2 V
GCLK tsu 1.038 1.041 1.703 1.948 2.187 2.071 2.015 1.956 2.202 2.084 2.060 ns
PLL th -0.786 -0.773 -1.303 -1.498 -1.686 -1.599 -1.533 -1.497 -1.690 -1.602 -1.575 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.847 -0.828 -1.172 -1.346 -1.357 -1.402 -1.641 -1.361 -1.349 -1.403 -1.679 ns
GCLK
SSTL-2 th 0.963 0.961 1.360 1.553 1.590 1.620 1.864 1.578 1.592 1.629 1.902 ns
CLASS I tsu 1.055 1.067 1.726 1.957 2.178 2.062 2.006 1.974 2.200 2.082 2.058 ns
GCLK
PLL th -0.803 -0.799 -1.326 -1.507 -1.677 -1.590 -1.524 -1.515 -1.688 -1.600 -1.573 ns
tsu -0.847 -0.828 -1.172 -1.346 -1.357 -1.402 -1.641 -1.361 -1.349 -1.403 -1.679 ns
GCLK
SSTL-2 th 0.963 0.961 1.360 1.553 1.590 1.620 1.864 1.578 1.592 1.629 1.902 ns
CLASS II tsu 1.055 1.067 1.726 1.957 2.178 2.062 2.006 1.974 2.200 2.082 2.058 ns
GCLK
PLL th -0.803 -0.799 -1.326 -1.507 -1.677 -1.590 -1.524 -1.515 -1.688 -1.600 -1.573 ns
tsu -0.777 -0.819 -1.157 -1.244 -1.346 -1.300 -1.630 -1.246 -1.343 -1.300 -1.672 ns
GCLK
SSTL-18 th 0.894 0.952 1.345 1.452 1.576 1.519 1.849 1.463 1.583 1.529 1.891 ns
CLASS I tsu 1.064 1.076 1.741 1.975 2.192 2.077 2.019 1.988 2.209 2.092 2.067 ns
GCLK
PLL th -0.812 -0.808 -1.341 -1.527 -1.693 -1.606 -1.541 -1.531 -1.700 -1.611 -1.586 ns
tsu -0.777 -0.819 -1.157 -1.244 -1.346 -1.300 -1.630 -1.246 -1.343 -1.300 -1.672 ns
GCLK
SSTL-18 th 0.894 0.952 1.345 1.452 1.576 1.519 1.849 1.463 1.583 1.529 1.891 ns
CLASS II tsu 1.064 1.076 1.741 1.975 2.192 2.077 2.019 1.988 2.209 2.092 2.067 ns
GCLK
PLL th -0.812 -0.808 -1.341 -1.527 -1.693 -1.606 -1.541 -1.531 -1.700 -1.611 -1.586 ns
tsu -0.763 -0.807 -1.144 -1.234 -1.328 -1.282 -1.612 -1.235 -1.326 -1.283 -1.655 ns
GCLK
SSTL-15 th 0.880 0.940 1.333 1.442 1.558 1.501 1.831 1.452 1.566 1.512 1.874 ns
CLASS I tsu 1.078 1.088 1.756 1.985 2.210 2.095 2.037 1.999 2.226 2.109 2.084 ns
GCLK
PLL th -0.826 -0.820 -1.355 -1.537 -1.711 -1.624 -1.559 -1.542 -1.717 -1.628 -1.603 ns
tsu -0.777 -0.819 -1.157 -1.244 -1.346 -1.300 -1.630 -1.246 -1.343 -1.300 -1.672 ns
GCLK
1.8-V HSTL th 0.894 0.952 1.345 1.452 1.576 1.519 1.849 1.463 1.583 1.529 1.891 ns
CLASS I tsu 1.064 1.076 1.741 1.975 2.192 2.077 2.019 1.988 2.209 2.092 2.067 ns
GCLK
PLL th -0.812 -0.808 -1.341 -1.527 -1.693 -1.606 -1.541 -1.531 -1.700 -1.611 -1.586 ns
tsu -0.777 -0.819 -1.157 -1.244 -1.346 -1.300 -1.630 -1.246 -1.343 -1.300 -1.672 ns
GCLK
1.8-V HSTL th 0.894 0.952 1.345 1.452 1.576 1.519 1.849 1.463 1.583 1.529 1.891 ns
CLASS II tsu 1.064 1.076 1.741 1.975 2.192 2.077 2.019 1.988 2.209 2.092 2.067 ns
GCLK
PLL th -0.812 -0.808 -1.341 -1.527 -1.693 -1.606 -1.541 -1.531 -1.700 -1.611 -1.586 ns
tsu -0.763 -0.807 -1.144 -1.234 -1.328 -1.282 -1.612 -1.235 -1.326 -1.283 -1.655 ns
GCLK
1.5-V HSTL th 0.880 0.940 1.333 1.442 1.558 1.501 1.831 1.452 1.566 1.512 1.874 ns
CLASS I tsu 1.078 1.088 1.756 1.985 2.210 2.095 2.037 1.999 2.226 2.109 2.084 ns
GCLK
PLL th -0.826 -0.820 -1.355 -1.537 -1.711 -1.624 -1.559 -1.542 -1.717 -1.628 -1.603 ns
tsu -0.763 -0.807 -1.144 -1.234 -1.328 -1.282 -1.612 -1.235 -1.326 -1.283 -1.655 ns
GCLK
1.5-V HSTL th 0.880 0.940 1.333 1.442 1.558 1.501 1.831 1.452 1.566 1.512 1.874 ns
CLASS II tsu 1.078 1.088 1.756 1.985 2.210 2.095 2.037 1.999 2.226 2.109 2.084 ns
GCLK
PLL th -0.826 -0.820 -1.355 -1.537 -1.711 -1.624 -1.559 -1.542 -1.717 -1.628 -1.603 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.754 -0.795 -1.135 -1.224 -1.312 -1.266 -1.596 -1.226 -1.310 -1.267 -1.639 ns
GCLK
1.2-V HSTL th 0.871 0.928 1.324 1.432 1.542 1.485 1.815 1.443 1.550 1.496 1.858 ns
CLASS I tsu 1.087 1.100 1.765 1.995 2.226 2.111 2.053 2.008 2.242 2.125 2.100 ns
GCLK
PLL th -0.835 -0.832 -1.364 -1.547 -1.727 -1.640 -1.575 -1.551 -1.733 -1.644 -1.619 ns
tsu -0.754 -0.795 -1.135 -1.224 -1.312 -1.266 -1.596 -1.226 -1.310 -1.267 -1.639 ns
GCLK
1.2-V HSTL th 0.871 0.928 1.324 1.432 1.542 1.485 1.815 1.443 1.550 1.496 1.858 ns
CLASS II tsu 1.087 1.100 1.765 1.995 2.226 2.111 2.053 2.008 2.242 2.125 2.100 ns
GCLK
PLL th -0.835 -0.832 -1.364 -1.547 -1.727 -1.640 -1.575 -1.551 -1.733 -1.644 -1.619 ns
tsu -0.916 -0.894 -1.249 -1.442 -1.562 -1.607 -1.846 -1.464 -1.556 -1.610 -1.886 ns
GCLK
th 1.031 1.026 1.437 1.649 1.795 1.825 2.069 1.681 1.799 1.836 2.109 ns
3.0-V PCI
GCLK tsu 0.986 1.002 1.649 1.861 1.973 1.857 1.801 1.871 1.993 1.875 1.851 ns
PLL th -0.735 -0.735 -1.249 -1.411 -1.472 -1.385 -1.319 -1.412 -1.481 -1.393 -1.366 ns
tsu -0.916 -0.894 -1.249 -1.442 -1.562 -1.607 -1.846 -1.464 -1.556 -1.610 -1.886 ns
GCLK
3.0-V th 1.031 1.026 1.437 1.649 1.795 1.825 2.069 1.681 1.799 1.836 2.109 ns
PCI-X tsu 0.986 1.002 1.649 1.861 1.973 1.857 1.801 1.871 1.993 1.875 1.851 ns
GCLK
PLL th -0.735 -0.735 -1.249 -1.411 -1.472 -1.385 -1.319 -1.412 -1.481 -1.393 -1.366 ns
Table 1–63 lists the EP3SL110 column pins output timing parameters for single-ended
I/O standards.
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.439 3.439 4.768 5.145 5.638 5.507 5.801 5.145 5.638 5.507 5.801 ns
4mA GCLK
tco 3.829 3.829 5.344 5.778 6.326 6.168 6.550 5.778 6.326 6.168 6.550 ns
PLL
GCLK tco 3.372 3.372 4.659 5.034 5.525 5.394 5.688 5.034 5.525 5.394 5.688 ns
8mA GCLK
tco 3.762 3.762 5.235 5.667 6.213 6.055 6.437 5.667 6.213 6.055 6.437 ns
3.3-V PLL
LVTTL GCLK tco 3.286 3.286 4.556 4.936 5.433 5.302 5.596 4.936 5.433 5.302 5.596 ns
12mA GCLK
tco 3.676 3.676 5.131 5.568 6.121 5.963 6.345 5.568 6.121 5.963 6.345 ns
PLL
GCLK tco 3.279 3.279 4.539 4.908 5.392 5.261 5.555 4.908 5.392 5.261 5.555 ns
16mA GCLK
tco 3.669 3.669 5.114 5.540 6.080 5.922 6.304 5.540 6.080 5.922 6.304 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.445 3.445 4.773 5.150 5.645 5.514 5.808 5.150 5.645 5.514 5.808 ns
4mA GCLK
tco 3.835 3.835 5.348 5.783 6.333 6.175 6.557 5.783 6.333 6.175 6.557 ns
PLL
GCLK tco 3.290 3.290 4.566 4.953 5.444 5.313 5.607 4.953 5.444 5.313 5.607 ns
8mA GCLK
tco 3.680 3.680 5.141 5.585 6.132 5.974 6.356 5.585 6.132 5.974 6.356 ns
3.3-V PLL
LVCMOS GCLK tco 3.297 3.297 4.560 4.932 5.418 5.287 5.581 4.932 5.418 5.287 5.581 ns
12mA GCLK
tco 3.687 3.687 5.135 5.564 6.106 5.948 6.330 5.564 6.106 5.948 6.330 ns
PLL
GCLK tco 3.281 3.281 4.537 4.906 5.389 5.258 5.552 4.906 5.389 5.258 5.552 ns
16mA GCLK
tco 3.671 3.671 5.113 5.539 6.077 5.919 6.301 5.539 6.077 5.919 6.301 ns
PLL
GCLK tco 3.403 3.403 4.735 5.114 5.605 5.474 5.768 5.114 5.605 5.474 5.768 ns
4mA GCLK
tco 3.793 3.793 5.311 5.746 6.293 6.135 6.517 5.746 6.293 6.135 6.517 ns
PLL
GCLK tco 3.292 3.292 4.605 4.980 5.467 5.337 5.629 4.980 5.467 5.337 5.629 ns
8mA GCLK
tco 3.682 3.682 5.181 5.612 6.156 5.999 6.379 5.612 6.156 5.999 6.379 ns
3.0-V PLL
LVTTL GCLK tco 3.256 3.256 4.542 4.911 5.393 5.263 5.556 4.911 5.393 5.263 5.556 ns
12mA GCLK
tco 3.646 3.646 5.118 5.543 6.082 5.925 6.305 5.543 6.082 5.925 6.305 ns
PLL
GCLK tco 3.238 3.238 4.513 4.883 5.365 5.234 5.528 4.883 5.365 5.234 5.528 ns
16mA GCLK
tco 3.628 3.628 5.089 5.515 6.053 5.895 6.277 5.515 6.053 5.895 6.277 ns
PLL
GCLK tco 3.317 3.317 4.639 5.013 5.502 5.372 5.664 5.013 5.502 5.372 5.664 ns
4mA GCLK
tco 3.707 3.707 5.215 5.646 6.191 6.034 6.414 5.646 6.191 6.034 6.414 ns
PLL
GCLK tco 3.238 3.238 4.516 4.884 5.367 5.237 5.529 4.884 5.367 5.237 5.529 ns
8mA GCLK
tco 3.628 3.628 5.091 5.517 6.056 5.899 6.279 5.517 6.056 5.899 6.279 ns
3.0-V PLL
LVCMOS GCLK tco 3.233 3.233 4.508 4.877 5.359 5.228 5.522 4.877 5.359 5.228 5.522 ns
12mA GCLK
tco 3.623 3.623 5.084 5.510 6.047 5.889 6.271 5.510 6.047 5.889 6.271 ns
PLL
GCLK tco 3.224 3.224 4.494 4.862 5.344 5.213 5.507 4.862 5.344 5.213 5.507 ns
16mA GCLK
tco 3.614 3.614 5.070 5.495 6.032 5.874 6.256 5.495 6.032 5.874 6.256 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.439 3.439 4.846 5.240 5.749 5.619 5.912 5.240 5.749 5.619 5.912 ns
4mA GCLK
tco 3.829 3.829 5.422 5.873 6.438 6.281 6.661 5.873 6.438 6.281 6.661 ns
PLL
GCLK tco 3.339 3.339 4.727 5.114 5.617 5.487 5.779 5.114 5.617 5.487 5.779 ns
8mA GCLK
tco 3.729 3.729 5.303 5.747 6.306 6.149 6.529 5.747 6.306 6.149 6.529 ns
PLL
2.5 V
GCLK tco 3.295 3.295 4.640 5.024 5.522 5.391 5.685 5.024 5.522 5.391 5.685 ns
12mA GCLK
tco 3.685 3.685 5.216 5.656 6.210 6.052 6.434 5.656 6.210 6.052 6.434 ns
PLL
GCLK tco 3.257 3.257 4.601 4.981 5.479 5.348 5.642 4.981 5.479 5.348 5.642 ns
16mA GCLK
tco 3.647 3.647 5.177 5.614 6.167 6.009 6.391 5.614 6.167 6.009 6.391 ns
PLL
GCLK tco 3.630 3.630 5.168 5.600 6.154 6.023 6.317 5.600 6.154 6.023 6.317 ns
2mA GCLK
tco 4.020 4.020 5.743 6.233 6.842 6.684 7.066 6.233 6.842 6.684 7.066 ns
PLL
GCLK tco 3.449 3.449 4.889 5.291 5.806 5.676 5.968 5.291 5.806 5.676 5.968 ns
4mA GCLK
tco 3.839 3.839 5.464 5.924 6.495 6.338 6.718 5.924 6.495 6.338 6.718 ns
PLL
GCLK tco 3.367 3.367 4.782 5.176 5.696 5.565 5.859 5.176 5.696 5.565 5.859 ns
6mA GCLK
tco 3.757 3.757 5.357 5.809 6.384 6.226 6.608 5.809 6.384 6.226 6.608 ns
PLL
1.8 V
GCLK tco 3.347 3.347 4.723 5.123 5.630 5.499 5.793 5.123 5.630 5.499 5.793 ns
8mA GCLK
tco 3.737 3.737 5.299 5.755 6.318 6.160 6.542 5.755 6.318 6.160 6.542 ns
PLL
GCLK tco 3.284 3.284 4.662 5.048 5.549 5.418 5.712 5.048 5.549 5.418 5.712 ns
10mA GCLK
tco 3.674 3.674 5.238 5.680 6.237 6.079 6.461 5.680 6.237 6.079 6.461 ns
PLL
GCLK tco 3.266 3.266 4.642 5.026 5.526 5.395 5.689 5.026 5.526 5.395 5.689 ns
12mA GCLK
tco 3.656 3.656 5.217 5.659 6.214 6.056 6.438 5.659 6.214 6.056 6.438 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.576 3.576 5.096 5.533 6.092 5.961 6.255 5.533 6.092 5.961 6.255 ns
2mA GCLK
tco 3.966 3.966 5.672 6.165 6.780 6.622 7.004 6.165 6.780 6.622 7.004 ns
PLL
GCLK tco 3.364 3.364 4.777 5.176 5.700 5.569 5.863 5.176 5.700 5.569 5.863 ns
4mA GCLK
tco 3.754 3.754 5.353 5.809 6.388 6.230 6.612 5.809 6.388 6.230 6.612 ns
PLL
GCLK tco 3.339 3.339 4.710 5.116 5.633 5.502 5.796 5.116 5.633 5.502 5.796 ns
6mA GCLK
tco 3.729 3.729 5.286 5.749 6.321 6.163 6.545 5.749 6.321 6.163 6.545 ns
PLL
1.5 V
GCLK tco 3.328 3.328 4.693 5.091 5.613 5.482 5.776 5.091 5.613 5.482 5.776 ns
8mA GCLK
tco 3.718 3.718 5.269 5.724 6.301 6.143 6.525 5.724 6.301 6.143 6.525 ns
PLL
GCLK tco 3.273 3.273 4.655 5.041 5.543 5.412 5.706 5.041 5.543 5.412 5.706 ns
10mA GCLK
tco 3.663 3.663 5.231 5.673 6.231 6.073 6.455 5.673 6.231 6.073 6.455 ns
PLL
GCLK tco 3.268 3.268 4.639 5.029 5.532 5.401 5.695 5.029 5.532 5.401 5.695 ns
12mA GCLK
tco 3.658 3.658 5.214 5.662 6.220 6.062 6.444 5.662 6.220 6.062 6.444 ns
PLL
GCLK tco 3.492 3.492 5.022 5.468 6.036 5.905 6.199 5.468 6.036 5.905 6.199 ns
2mA GCLK
tco 3.882 3.882 5.598 6.101 6.724 6.566 6.948 6.101 6.724 6.566 6.948 ns
PLL
GCLK tco 3.369 3.369 4.797 5.207 5.750 5.619 5.913 5.207 5.750 5.619 5.913 ns
4mA GCLK
tco 3.759 3.759 5.372 5.839 6.438 6.280 6.662 5.839 6.438 6.280 6.662 ns
PLL
1.2 V
GCLK tco 3.331 3.331 4.704 5.117 5.637 5.506 5.800 5.117 5.637 5.506 5.800 ns
6mA GCLK
tco 3.721 3.721 5.280 5.750 6.325 6.167 6.549 5.750 6.325 6.167 6.549 ns
PLL
GCLK tco 3.284 3.284 4.676 5.068 5.581 5.450 5.744 5.068 5.581 5.450 5.744 ns
8mA GCLK
tco 3.674 3.674 5.252 5.701 6.269 6.111 6.493 5.701 6.269 6.111 6.493 ns
PLL
GCLK tco 3.284 3.284 4.633 5.016 5.512 5.381 5.675 5.016 5.512 5.381 5.675 ns
8mA GCLK
tco 3.674 3.674 5.209 5.648 6.200 6.042 6.424 5.648 6.200 6.042 6.424 ns
PLL
GCLK tco 3.281 3.281 4.630 5.012 5.508 5.377 5.671 5.012 5.508 5.377 5.671 ns
SSTL-2
10mA GCLK
CLASS I tco 3.671 3.671 5.206 5.645 6.196 6.038 6.420 5.645 6.196 6.038 6.420 ns
PLL
GCLK tco 3.279 3.279 4.630 5.013 5.509 5.378 5.672 5.013 5.509 5.378 5.672 ns
12mA GCLK
tco 3.669 3.669 5.206 5.646 6.197 6.039 6.421 5.646 6.197 6.039 6.421 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.270 3.270 4.616 4.998 5.494 5.363 5.657 4.998 5.494 5.363 5.657 ns
SSTL-2
16mA GCLK
CLASS II tco 3.660 3.660 5.191 5.630 6.182 6.024 6.406 5.630 6.182 6.024 6.406 ns
PLL
GCLK tco 3.291 3.291 4.645 5.030 5.528 5.397 5.691 5.030 5.528 5.397 5.691 ns
4mA GCLK
tco 3.681 3.681 5.221 5.662 6.216 6.058 6.440 5.662 6.216 6.058 6.440 ns
PLL
GCLK tco 3.287 3.287 4.643 5.028 5.526 5.395 5.689 5.028 5.526 5.395 5.689 ns
6mA GCLK
tco 3.677 3.677 5.219 5.660 6.214 6.056 6.438 5.660 6.214 6.056 6.438 ns
PLL
GCLK tco 3.276 3.276 4.633 5.018 5.517 5.386 5.680 5.018 5.517 5.386 5.680 ns
SSTL-18
8mA GCLK
CLASS I tco 3.666 3.666 5.209 5.651 6.205 6.047 6.429 5.651 6.205 6.047 6.429 ns
PLL
GCLK tco 3.265 3.265 4.621 5.005 5.504 5.373 5.667 5.005 5.504 5.373 5.667 ns
10mA GCLK
tco 3.655 3.655 5.196 5.638 6.192 6.034 6.416 5.638 6.192 6.034 6.416 ns
PLL
GCLK tco 3.265 3.265 4.620 5.005 5.504 5.373 5.667 5.005 5.504 5.373 5.667 ns
12mA GCLK
tco 3.655 3.655 5.196 5.638 6.192 6.034 6.416 5.638 6.192 6.034 6.416 ns
PLL
GCLK tco 3.271 3.271 4.619 5.002 5.499 5.368 5.662 5.002 5.499 5.368 5.662 ns
8mA GCLK
tco 3.661 3.661 5.195 5.635 6.187 6.029 6.411 5.635 6.187 6.029 6.411 ns
SSTL-18 PLL
CLASS II GCLK tco 3.274 3.274 4.627 5.012 5.511 5.380 5.674 5.012 5.511 5.380 5.674 ns
16mA GCLK
tco 3.664 3.664 5.203 5.644 6.199 6.041 6.423 5.644 6.199 6.041 6.423 ns
PLL
GCLK tco 3.295 3.295 4.655 5.041 5.541 5.410 5.704 5.041 5.541 5.410 5.704 ns
4mA GCLK
tco 3.685 3.685 5.230 5.674 6.229 6.071 6.453 5.674 6.229 6.071 6.453 ns
PLL
GCLK tco 3.281 3.281 4.644 5.031 5.532 5.401 5.695 5.031 5.532 5.401 5.695 ns
6mA GCLK
tco 3.671 3.671 5.220 5.664 6.220 6.062 6.444 5.664 6.220 6.062 6.444 ns
PLL
GCLK tco 3.270 3.270 4.631 5.017 5.518 5.387 5.681 5.017 5.518 5.387 5.681 ns
SSTL-15
8mA GCLK
CLASS I tco 3.660 3.660 5.206 5.650 6.206 6.048 6.430 5.650 6.206 6.048 6.430 ns
PLL
GCLK tco 3.269 3.269 4.634 5.021 5.522 5.391 5.685 5.021 5.522 5.391 5.685 ns
10mA GCLK
tco 3.659 3.659 5.209 5.653 6.210 6.052 6.434 5.653 6.210 6.052 6.434 ns
PLL
GCLK tco 3.266 3.266 4.628 5.015 5.516 5.385 5.679 5.015 5.516 5.385 5.679 ns
12mA GCLK
tco 3.656 3.656 5.204 5.648 6.204 6.046 6.428 5.648 6.204 6.046 6.428 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.268 3.268 4.617 5.001 5.499 5.368 5.662 5.001 5.499 5.368 5.662 ns
8mA GCLK
tco 3.658 3.658 5.193 5.634 6.187 6.029 6.411 5.634 6.187 6.029 6.411 ns
SSTL-15 PLL
CLASS II GCLK tco 3.271 3.271 4.624 5.010 5.510 5.379 5.673 5.010 5.510 5.379 5.673 ns
16mA GCLK
tco 3.661 3.661 5.200 5.643 6.198 6.040 6.422 5.643 6.198 6.040 6.422 ns
PLL
GCLK tco 3.278 3.278 4.619 5.001 5.497 5.366 5.660 5.001 5.497 5.366 5.660 ns
4mA GCLK
tco 3.668 3.668 5.195 5.634 6.185 6.027 6.409 5.634 6.185 6.027 6.409 ns
PLL
GCLK tco 3.271 3.271 4.617 5.000 5.496 5.365 5.659 5.000 5.496 5.365 5.659 ns
6mA GCLK
tco 3.661 3.661 5.193 5.632 6.184 6.026 6.408 5.632 6.184 6.026 6.408 ns
PLL
1.8-V GCLK tco 3.263 3.263 4.610 4.992 5.489 5.358 5.652 4.992 5.489 5.358 5.652 ns
HSTL 8mA GCLK
CLASS I tco 3.653 3.653 5.185 5.625 6.177 6.019 6.401 5.625 6.177 6.019 6.401 ns
PLL
GCLK tco 3.266 3.266 4.613 4.996 5.493 5.362 5.656 4.996 5.493 5.362 5.656 ns
10mA GCLK
tco 3.656 3.656 5.188 5.628 6.181 6.023 6.405 5.628 6.181 6.023 6.405 ns
PLL
GCLK tco 3.263 3.263 4.615 4.999 5.497 5.366 5.660 4.999 5.497 5.366 5.660 ns
12mA GCLK
tco 3.653 3.653 5.191 5.632 6.185 6.027 6.409 5.632 6.185 6.027 6.409 ns
PLL
1.8-V GCLK tco 3.271 3.271 4.614 4.997 5.493 5.362 5.656 4.997 5.493 5.362 5.656 ns
HSTL 16mA GCLK
CLASS II tco 3.661 3.661 5.190 5.629 6.181 6.023 6.405 5.629 6.181 6.023 6.405 ns
PLL
GCLK tco 3.283 3.283 4.628 5.011 5.508 5.377 5.671 5.011 5.508 5.377 5.671 ns
4mA GCLK
tco 3.673 3.673 5.203 5.644 6.196 6.038 6.420 5.644 6.196 6.038 6.420 ns
PLL
GCLK tco 3.279 3.279 4.629 5.013 5.511 5.380 5.674 5.013 5.511 5.380 5.674 ns
6mA GCLK
tco 3.669 3.669 5.204 5.645 6.199 6.041 6.423 5.645 6.199 6.041 6.423 ns
PLL
1.5-V GCLK tco 3.275 3.275 4.624 5.008 5.506 5.375 5.669 5.008 5.506 5.375 5.669 ns
HSTL 8mA GCLK
CLASS I tco 3.665 3.665 5.200 5.641 6.194 6.036 6.418 5.641 6.194 6.036 6.418 ns
PLL
GCLK tco 3.268 3.268 4.617 5.001 5.499 5.368 5.662 5.001 5.499 5.368 5.662 ns
10mA GCLK
tco 3.658 3.658 5.193 5.634 6.187 6.029 6.411 5.634 6.187 6.029 6.411 ns
PLL
GCLK tco 3.269 3.269 4.624 5.009 5.509 5.378 5.672 5.009 5.509 5.378 5.672 ns
12mA GCLK
tco 3.659 3.659 5.200 5.642 6.197 6.039 6.421 5.642 6.197 6.039 6.421 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
1.5-V GCLK tco 3.267 3.267 4.605 4.987 5.483 5.352 5.646 4.987 5.483 5.352 5.646 ns
HSTL 16mA GCLK
CLASS II tco 3.657 3.657 5.181 5.620 6.171 6.013 6.395 5.620 6.171 6.013 6.395 ns
PLL
GCLK tco 3.286 3.286 4.642 5.028 5.529 5.398 5.692 5.028 5.529 5.398 5.692 ns
4mA GCLK
tco 3.676 3.676 5.217 5.661 6.217 6.059 6.441 5.661 6.217 6.059 6.441 ns
PLL
GCLK tco 3.278 3.278 4.633 5.019 5.520 5.389 5.683 5.019 5.520 5.389 5.683 ns
6mA GCLK
tco 3.668 3.668 5.208 5.652 6.208 6.050 6.432 5.652 6.208 6.050 6.432 ns
PLL
1.2-V GCLK tco 3.279 3.279 4.640 5.028 5.529 5.398 5.692 5.028 5.529 5.398 5.692 ns
HSTL 8mA GCLK
CLASS I tco 3.669 3.669 5.216 5.660 6.217 6.059 6.441 5.660 6.217 6.059 6.441 ns
PLL
GCLK tco 3.268 3.268 4.627 5.014 5.515 5.384 5.678 5.014 5.515 5.384 5.678 ns
10mA GCLK
tco 3.658 3.658 5.203 5.647 6.203 6.045 6.427 5.647 6.203 6.045 6.427 ns
PLL
GCLK tco 3.268 3.268 4.627 5.014 5.516 5.385 5.679 5.014 5.516 5.385 5.679 ns
12mA GCLK
tco 3.658 3.658 5.203 5.647 6.204 6.046 6.428 5.647 6.204 6.046 6.428 ns
PLL
1.2-V GCLK tco 3.289 3.289 4.643 5.029 5.529 5.398 5.692 5.029 5.529 5.398 5.692 ns
HSTL 16mA GCLK
CLASS II tco 3.679 3.679 5.219 5.662 6.217 6.059 6.441 5.662 6.217 6.059 6.441 ns
PLL
GCLK tco 3.392 3.392 4.688 5.063 5.554 5.423 5.717 5.063 5.554 5.423 5.717 ns
3.0-V PCI — GCLK
tco 3.782 3.782 5.264 5.696 6.242 6.084 6.466 5.696 6.242 6.084 6.466 ns
PLL
GCLK tco 3.392 3.392 4.688 5.063 5.554 5.423 5.717 5.063 5.554 5.423 5.717 ns
3.0-V
— GCLK
PCI-X tco 3.782 3.782 5.264 5.696 6.242 6.084 6.466 5.696 6.242 6.084 6.466 ns
PLL
Table 1–64 lists the EP3SL110 row pins output timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.182 3.481 4.833 5.216 5.678 5.579 5.814 5.256 5.802 5.703 5.896 ns
4mA GCLK
tco 1.457 1.650 2.051 2.136 2.329 2.349 2.337 2.239 2.435 2.454 2.331 ns
PLL
GCLK tco 3.116 3.376 4.703 5.078 5.533 5.434 5.669 5.143 5.653 5.554 5.747 ns
3.3-V
8mA GCLK
LVTTL tco 1.364 1.545 1.921 1.998 2.184 2.204 2.192 2.098 2.286 2.305 2.182 ns
PLL
GCLK tco 3.037 3.270 4.584 4.955 5.405 5.306 5.541 5.044 5.521 5.422 5.615 ns
12mA GCLK
tco 1.265 1.439 1.802 1.875 2.056 2.076 2.064 1.971 2.154 2.173 2.050 ns
PLL
GCLK tco 3.184 3.485 4.841 5.221 5.682 5.583 5.818 5.264 5.806 5.707 5.900 ns
4mA GCLK
tco 1.467 1.654 2.059 2.141 2.333 2.353 2.341 2.245 2.439 2.458 2.335 ns
3.3-V PLL
LVCMOS GCLK tco 3.041 3.276 4.590 4.961 5.411 5.312 5.548 5.056 5.528 5.429 5.622 ns
8mA GCLK
tco 1.269 1.445 1.808 1.881 2.062 2.082 2.070 1.977 2.161 2.180 2.057 ns
PLL
GCLK tco 3.143 3.427 4.785 5.169 5.634 5.535 5.770 5.224 5.760 5.661 5.854 ns
4mA GCLK
tco 1.411 1.596 2.003 2.089 2.285 2.305 2.293 2.196 2.393 2.412 2.289 ns
PLL
GCLK tco 3.042 3.300 4.632 5.010 5.470 5.371 5.606 5.082 5.596 5.496 5.689 ns
3.0-V
8mA GCLK
LVTTL tco 1.286 1.469 1.850 1.930 2.121 2.141 2.129 2.034 2.229 2.247 2.124 ns
PLL
GCLK tco 3.005 3.249 4.550 4.927 5.382 5.283 5.518 5.014 5.503 5.403 5.596 ns
12mA GCLK
tco 1.247 1.418 1.768 1.847 2.033 2.053 2.041 1.948 2.136 2.154 2.031 ns
PLL
GCLK tco 3.064 3.346 4.679 5.062 5.524 5.425 5.660 5.115 5.649 5.549 5.742 ns
4mA GCLK
tco 1.325 1.515 1.897 1.982 2.175 2.195 2.183 2.088 2.282 2.300 2.177 ns
3.0-V PLL
LVCMOS GCLK tco 2.992 3.227 4.515 4.888 5.343 5.244 5.479 4.984 5.463 5.363 5.556 ns
8mA GCLK
tco 1.225 1.396 1.733 1.808 1.994 2.014 2.002 1.908 2.096 2.114 1.991 ns
PLL
GCLK tco 3.169 3.463 4.917 5.323 5.806 5.707 5.942 5.356 5.939 5.839 6.032 ns
4mA GCLK
tco 1.437 1.632 2.135 2.243 2.457 2.477 2.465 2.356 2.572 2.590 2.467 ns
PLL
GCLK tco 3.084 3.365 4.762 5.160 5.636 5.537 5.772 5.219 5.765 5.665 5.858 ns
2.5 V 8mA GCLK
tco 1.327 1.534 1.980 2.080 2.287 2.307 2.295 2.189 2.398 2.416 2.293 ns
PLL
GCLK tco 3.027 3.288 4.651 5.041 5.510 5.411 5.646 5.130 5.635 5.535 5.728 ns
12mA GCLK
tco 1.281 1.457 1.869 1.961 2.161 2.181 2.169 2.066 2.268 2.286 2.163 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.438 3.706 5.298 5.747 6.303 6.177 6.439 5.888 6.445 6.318 6.538 ns
2mA GCLK
tco 1.670 1.879 2.521 2.672 2.932 2.952 2.937 2.793 3.056 3.075 2.949 ns
PLL
GCLK tco 3.213 3.504 4.971 5.378 5.898 5.772 6.034 5.523 6.039 5.911 6.131 ns
4mA GCLK
tco 1.445 1.677 2.194 2.303 2.527 2.547 2.532 2.428 2.650 2.668 2.542 ns
PLL
1.8 V
GCLK tco 3.148 3.402 4.818 5.228 5.739 5.613 5.875 5.355 5.866 5.739 5.959 ns
6mA GCLK
tco 1.380 1.575 2.041 2.153 2.368 2.388 2.373 2.260 2.477 2.496 2.370 ns
PLL
GCLK tco 3.088 3.328 4.741 5.134 5.642 5.516 5.778 5.260 5.772 5.645 5.865 ns
8mA GCLK
tco 1.320 1.501 1.964 2.059 2.271 2.291 2.276 2.165 2.383 2.402 2.276 ns
PLL
GCLK tco 3.349 3.624 5.208 5.660 6.231 6.105 6.367 5.795 6.369 6.242 6.462 ns
2mA GCLK
tco 1.581 1.797 2.431 2.585 2.860 2.880 2.865 2.700 2.980 2.999 2.873 ns
PLL
GCLK tco 3.107 3.366 4.803 5.223 5.740 5.614 5.876 5.349 5.865 5.738 5.958 ns
4mA GCLK
tco 1.339 1.539 2.026 2.148 2.369 2.389 2.374 2.254 2.476 2.495 2.369 ns
PLL
1.5 V
GCLK tco 3.080 3.319 4.730 5.127 5.634 5.508 5.770 5.251 5.760 5.633 5.853 ns
6mA GCLK
tco 1.312 1.492 1.953 2.052 2.263 2.283 2.268 2.156 2.371 2.390 2.264 ns
PLL
GCLK tco 3.071 3.310 4.708 5.109 5.615 5.489 5.751 5.233 5.738 5.611 5.831 ns
8mA GCLK
tco 1.303 1.483 1.931 2.034 2.244 2.264 2.249 2.138 2.349 2.368 2.242 ns
PLL
GCLK tco 3.292 3.549 5.118 5.574 6.156 6.030 6.292 5.707 6.285 6.158 6.378 ns
2mA GCLK
tco 1.524 1.722 2.341 2.499 2.785 2.805 2.790 2.612 2.896 2.915 2.789 ns
PLL
1.2 V
GCLK tco 3.112 3.360 4.825 5.251 5.781 5.655 5.917 5.374 5.907 5.780 6.000 ns
4mA GCLK
tco 1.344 1.533 2.048 2.176 2.410 2.430 2.415 2.279 2.518 2.537 2.411 ns
PLL
GCLK tco 3.031 3.274 4.629 5.013 5.478 5.379 5.616 5.117 5.597 5.498 5.692 ns
8mA GCLK
tco 1.266 1.443 1.847 1.933 2.129 2.149 2.137 2.033 2.230 2.249 2.126 ns
SSTL-2 PLL
CLASS I GCLK tco 3.026 3.262 4.621 5.005 5.476 5.371 5.614 5.116 5.596 5.491 5.691 ns
12mA GCLK
tco 1.254 1.431 1.839 1.925 2.121 2.141 2.129 2.025 2.223 2.242 2.119 ns
PLL
GCLK tco 3.017 3.244 4.596 4.980 5.459 5.344 5.597 5.099 5.578 5.464 5.673 ns
SSTL-2
16mA GCLK
CLASS II tco 1.238 1.413 1.814 1.900 2.094 2.114 2.102 1.999 2.196 2.215 2.092 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.049 3.284 4.636 5.022 5.516 5.390 5.652 5.141 5.635 5.508 5.728 ns
4mA GCLK
tco 1.281 1.457 1.859 1.947 2.145 2.165 2.150 2.046 2.246 2.265 2.139 ns
PLL
GCLK tco 3.034 3.270 4.633 5.020 5.514 5.388 5.650 5.139 5.633 5.506 5.726 ns
6mA GCLK
tco 1.266 1.443 1.856 1.945 2.143 2.163 2.148 2.044 2.244 2.263 2.137 ns
PLL
GCLK tco 3.023 3.258 4.616 5.003 5.497 5.371 5.633 5.122 5.617 5.490 5.710 ns
SSTL-18
8mA GCLK
CLASS I tco 1.255 1.431 1.839 1.928 2.126 2.146 2.131 2.027 2.228 2.247 2.121 ns
PLL
GCLK tco 2.999 3.235 4.600 4.987 5.482 5.356 5.618 5.107 5.602 5.475 5.695 ns
10mA GCLK
tco 1.231 1.408 1.823 1.912 2.111 2.131 2.116 2.012 2.213 2.232 2.106 ns
PLL
GCLK tco 2.999 3.234 4.599 4.986 5.481 5.355 5.617 5.106 5.601 5.474 5.694 ns
12mA GCLK
tco 1.231 1.407 1.822 1.911 2.110 2.130 2.116 2.011 2.212 2.231 2.106 ns
PLL
GCLK tco 3.009 3.243 4.597 4.982 5.475 5.349 5.611 5.101 5.594 5.467 5.687 ns
8mA GCLK
tco 1.241 1.416 1.820 1.907 2.104 2.124 2.111 2.006 2.205 2.224 2.100 ns
SSTL-18 PLL
CLASS II GCLK tco 3.004 3.238 4.596 4.983 5.477 5.351 5.613 5.103 5.598 5.471 5.691 ns
16mA GCLK
tco 1.235 1.411 1.819 1.908 2.106 2.126 2.121 2.008 2.209 2.228 2.111 ns
PLL
GCLK tco 3.045 3.280 4.647 5.036 5.533 5.407 5.669 5.154 5.651 5.524 5.744 ns
4mA GCLK
tco 1.277 1.453 1.870 1.961 2.162 2.182 2.167 2.059 2.262 2.281 2.155 ns
PLL
GCLK tco 3.022 3.258 4.629 5.019 5.516 5.390 5.652 5.138 5.635 5.508 5.728 ns
SSTL-15
6mA GCLK
CLASS I tco 1.254 1.431 1.852 1.944 2.145 2.165 2.150 2.043 2.246 2.265 2.139 ns
PLL
GCLK tco 3.005 3.241 4.612 5.001 5.498 5.372 5.634 5.120 5.618 5.491 5.711 ns
8mA GCLK
tco 1.237 1.414 1.835 1.926 2.127 2.147 2.132 2.025 2.229 2.248 2.122 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.024 3.256 4.603 4.987 5.478 5.352 5.614 5.105 5.597 5.470 5.690 ns
4mA GCLK
tco 1.256 1.429 1.826 1.912 2.107 2.127 2.112 2.010 2.208 2.227 2.101 ns
PLL
GCLK tco 3.012 3.244 4.594 4.979 5.470 5.344 5.606 5.097 5.590 5.463 5.683 ns
6mA GCLK
tco 1.244 1.417 1.817 1.904 2.099 2.119 2.108 2.002 2.201 2.220 2.097 ns
PLL
1.8-V GCLK tco 2.999 3.232 4.585 4.970 5.462 5.336 5.598 5.089 5.582 5.455 5.675 ns
HSTL 8mA GCLK
CLASS I tco 1.231 1.405 1.808 1.895 2.091 2.111 2.101 1.994 2.193 2.212 2.090 ns
PLL
GCLK tco 3.001 3.234 4.588 4.973 5.465 5.339 5.601 5.092 5.585 5.458 5.678 ns
10mA GCLK
tco 1.233 1.407 1.811 1.898 2.094 2.114 2.105 1.997 2.196 2.215 2.093 ns
PLL
GCLK tco 2.994 3.229 4.586 4.972 5.465 5.339 5.601 5.092 5.586 5.459 5.679 ns
12mA GCLK
tco 1.226 1.402 1.809 1.897 2.094 2.114 2.108 1.997 2.197 2.216 2.098 ns
PLL
1.8-V GCLK tco 3.001 3.234 4.581 4.964 5.457 5.330 5.595 5.083 5.575 5.448 5.670 ns
HSTL 16mA GCLK
CLASS II tco 1.232 1.406 1.804 1.889 2.085 2.105 2.104 1.988 2.186 2.205 2.092 ns
PLL
GCLK tco 3.031 3.263 4.614 5.000 5.493 5.367 5.629 5.117 5.611 5.484 5.704 ns
4mA GCLK
tco 1.263 1.436 1.837 1.925 2.122 2.142 2.127 2.022 2.222 2.241 2.115 ns
PLL
1.5-V GCLK tco 3.019 3.253 4.610 4.996 5.489 5.363 5.625 5.114 5.608 5.481 5.701 ns
HSTL 6mA GCLK
CLASS I tco 1.251 1.426 1.833 1.921 2.118 2.138 2.123 2.019 2.219 2.238 2.112 ns
PLL
GCLK tco 3.015 3.248 4.604 4.990 5.483 5.357 5.619 5.108 5.602 5.475 5.695 ns
8mA GCLK
tco 1.247 1.421 1.827 1.915 2.112 2.132 2.117 2.013 2.213 2.232 2.106 ns
PLL
GCLK tco 3.030 3.262 4.625 5.014 5.511 5.385 5.647 5.131 5.628 5.501 5.721 ns
4mA GCLK
tco 1.262 1.435 1.848 1.939 2.140 2.160 2.145 2.036 2.239 2.258 2.132 ns
PLL
1.2-V GCLK tco 3.018 3.250 4.614 5.002 5.499 5.373 5.635 5.120 5.617 5.490 5.710 ns
HSTL 6mA GCLK
CLASS I tco 1.250 1.423 1.837 1.927 2.128 2.148 2.133 2.025 2.228 2.247 2.121 ns
PLL
GCLK tco 3.014 3.248 4.618 5.007 5.505 5.379 5.641 5.126 5.624 5.497 5.717 ns
8mA GCLK
tco 1.246 1.421 1.841 1.932 2.134 2.154 2.139 2.031 2.235 2.254 2.128 ns
PLL
GCLK tco 3.137 3.357 4.654 5.031 5.518 5.390 5.656 5.165 5.639 5.511 5.734 ns
3.0-V PCI — GCLK
tco 1.351 1.526 1.872 1.951 2.138 2.158 2.146 2.051 2.242 2.261 2.138 ns
PLL
GCLK tco 3.137 3.357 4.654 5.031 5.518 5.390 5.656 5.165 5.639 5.511 5.734 ns
3.0-V
— GCLK
PCI-X tco 1.351 1.526 1.872 1.951 2.138 2.158 2.146 2.051 2.242 2.261 2.138 ns
PLL
Table 1–65 through Table 1–70 list the maximum I/O timing parameters for EP3SL110
devices for differential I/O standards.
Table 1–65 lists the EP3SL110 column pins input timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.978 -1.006 -1.151 -1.135 -1.286 -1.233 -1.594 -1.098 -1.339 -1.193 -1.632 ns
GCLK
th 1.104 1.152 1.371 1.387 1.564 1.502 1.860 1.361 1.634 1.471 1.898 ns
LVDS
GCLK tsu 0.967 1.001 1.885 2.230 2.404 2.293 2.197 2.284 2.556 2.353 2.249 ns
PLL th -0.707 -0.719 -1.450 -1.735 -1.855 -1.769 -1.669 -1.780 -1.984 -1.818 -1.718 ns
tsu -0.978 -1.006 -1.151 -1.135 -1.286 -1.233 -1.594 -1.098 -1.339 -1.193 -1.632 ns
GCLK
th 1.104 1.152 1.371 1.387 1.564 1.502 1.860 1.361 1.634 1.471 1.898 ns
MINI-LVDS
GCLK tsu 0.967 1.001 1.885 2.230 2.404 2.293 2.197 2.284 2.556 2.353 2.249 ns
PLL th -0.707 -0.719 -1.450 -1.735 -1.855 -1.769 -1.669 -1.780 -1.984 -1.818 -1.718 ns
tsu -0.978 -1.006 -1.151 -1.135 -1.286 -1.233 -1.594 -1.098 -1.339 -1.193 -1.632 ns
GCLK
th 1.104 1.152 1.371 1.387 1.564 1.502 1.860 1.361 1.634 1.471 1.898 ns
RSDS
GCLK tsu 0.967 1.001 1.885 2.230 2.404 2.293 2.197 2.284 2.556 2.353 2.249 ns
PLL th -0.707 -0.719 -1.450 -1.735 -1.855 -1.769 -1.669 -1.780 -1.984 -1.818 -1.718 ns
tsu -0.794 -0.829 -1.228 -1.334 -1.445 -1.387 -1.743 -1.333 -1.443 -1.391 -1.786 ns
GCLK
DIFFERENTIAL th 0.913 0.967 1.416 1.546 1.677 1.610 1.964 1.554 1.684 1.621 2.007 ns
1.2-V HSTL
CLASS I GCLK tsu 1.151 1.178 1.808 2.031 2.245 2.139 2.048 2.049 2.266 2.155 2.095 ns
PLL th -0.898 -0.904 -1.405 -1.576 -1.742 -1.661 -1.565 -1.587 -1.753 -1.668 -1.609 ns
tsu -0.794 -0.829 -1.228 -1.334 -1.445 -1.387 -1.743 -1.333 -1.443 -1.391 -1.786 ns
DIFFERENTIAL GCLK
th 0.913 0.967 1.416 1.546 1.677 1.610 1.964 1.554 1.684 1.621 2.007 ns
1.2-V HSTL
CLASS II GCLK tsu 1.151 1.178 1.808 2.031 2.245 2.139 2.048 2.049 2.266 2.155 2.095 ns
PLL th -0.898 -0.904 -1.405 -1.576 -1.742 -1.661 -1.565 -1.587 -1.753 -1.668 -1.609 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
GCLK
DIFFERENTIAL th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V HSTL
CLASS I GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
DIFFERENTIAL GCLK
th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V HSTL
CLASS II GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
DIFFERENTIAL GCLK
th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V HSTL
CLASS I GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
DIFFERENTIAL GCLK
th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V HSTL
CLASS II GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
GCLK
DIFFERENTIAL th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V SSTL
CLASS I GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
DIFFERENTIAL GCLK
th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V SSTL
CLASS II GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
DIFFERENTIAL GCLK
th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V SSTL
CLASS I GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
GCLK
DIFFERENTIAL th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V SSTL
CLASS II GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
tsu -0.821 -0.858 -1.259 -1.361 -1.480 -1.424 -1.777 -1.359 -1.471 -1.422 -1.815 ns
DIFFERENTIAL GCLK
th 0.940 0.996 1.449 1.576 1.715 1.648 2.003 1.583 1.717 1.653 2.041 ns
2.5-V SSTL
CLASS I GCLK tsu 1.124 1.149 1.777 2.004 2.210 2.102 2.014 2.023 2.238 2.124 2.066 ns
PLL th -0.871 -0.875 -1.372 -1.546 -1.704 -1.623 -1.526 -1.558 -1.720 -1.636 -1.575 ns
tsu -0.821 -0.858 -1.259 -1.361 -1.480 -1.424 -1.777 -1.359 -1.471 -1.422 -1.815 ns
GCLK
DIFFERENTIAL th 0.940 0.996 1.449 1.576 1.715 1.648 2.003 1.583 1.717 1.653 2.041 ns
2.5-V SSTL
CLASS II GCLK tsu 1.124 1.149 1.777 2.004 2.210 2.102 2.014 2.023 2.238 2.124 2.066 ns
PLL th -0.871 -0.875 -1.372 -1.546 -1.704 -1.623 -1.526 -1.558 -1.720 -1.636 -1.575 ns
Table 1–66 lists the EP3SL110 parameters for differential I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.919 -0.950 -1.021 -0.981 -1.115 -1.070 -1.404 -0.940 -1.066 -1.023 -1.442 ns
GCLK
th 1.043 1.092 1.245 1.237 1.395 1.339 1.672 1.207 1.358 1.303 1.711 ns
MINI-LVDS
GCLK tsu 0.959 0.987 1.949 2.324 2.527 2.403 2.334 2.384 2.597 2.470 2.387 ns
PLL th -0.698 -0.708 -1.513 -1.828 -1.977 -1.881 -1.804 -1.875 -2.032 -1.933 -1.853 ns
tsu -0.919 -0.950 -1.021 -0.981 -1.115 -1.070 -1.404 -0.940 -1.066 -1.023 -1.442 ns
GCLK
th 1.043 1.092 1.245 1.237 1.395 1.339 1.672 1.207 1.358 1.303 1.711 ns
RSDS
GCLK tsu 0.959 0.987 1.949 2.324 2.527 2.403 2.334 2.384 2.597 2.470 2.387 ns
PLL th -0.698 -0.708 -1.513 -1.828 -1.977 -1.881 -1.804 -1.875 -2.032 -1.933 -1.853 ns
tsu -0.724 -0.765 -1.113 -1.210 -1.304 -1.254 -1.583 -1.211 -1.303 -1.255 -1.626 ns
GCLK
DIFFERENTIAL th 0.841 0.898 1.302 1.420 1.535 1.474 1.802 1.429 1.543 1.483 1.846 ns
1.2-V
HSTL CLASS I GCLK tsu 1.154 1.172 1.862 2.100 2.338 2.219 2.155 2.117 2.360 2.238 2.203 ns
PLL th -0.900 -0.902 -1.458 -1.649 -1.837 -1.746 -1.674 -1.657 -1.847 -1.753 -1.718 ns
tsu -0.724 -0.765 -1.113 -1.210 -1.304 -1.254 -1.583 -1.211 -1.303 -1.255 -1.626 ns
GCLK
DIFFERENTIAL th 0.841 0.898 1.302 1.420 1.535 1.474 1.802 1.429 1.543 1.483 1.846 ns
1.2-V
HSTL CLASS II GCLK tsu 1.154 1.172 1.862 2.100 2.338 2.219 2.155 2.117 2.360 2.238 2.203 ns
PLL th -0.900 -0.902 -1.458 -1.649 -1.837 -1.746 -1.674 -1.657 -1.847 -1.753 -1.718 ns
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
HSTL CLASS I GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
HSTL CLASS II GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
HSTL CLASS I GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
HSTL CLASS II GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
SSTL CLASS I GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
SSTL CLASS II GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
SSTL CLASS I GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
SSTL CLASS II GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
tsu -0.756 -0.798 -1.145 -1.241 -1.345 -1.296 -1.623 -1.238 -1.337 -1.291 -1.661 ns
GCLK
DIFFERENTIAL th 0.873 0.931 1.336 1.454 1.579 1.518 1.847 1.459 1.582 1.521 1.886 ns
2.5-V
SSTL CLASS I GCLK tsu 1.122 1.139 1.825 2.064 2.293 2.173 2.110 2.086 2.321 2.197 2.163 ns
PLL th -0.868 -0.869 -1.422 -1.611 -1.789 -1.698 -1.625 -1.623 -1.805 -1.711 -1.674 ns
tsu -0.756 -0.798 -1.145 -1.241 -1.345 -1.296 -1.623 -1.238 -1.337 -1.291 -1.661 ns
GCLK
DIFFERENTIAL th 0.873 0.931 1.336 1.454 1.579 1.518 1.847 1.459 1.582 1.521 1.886 ns
2.5-V
SSTL CLASS II GCLK tsu 1.122 1.139 1.825 2.064 2.293 2.173 2.110 2.086 2.321 2.197 2.163 ns
PLL th -0.868 -0.869 -1.422 -1.611 -1.789 -1.698 -1.625 -1.623 -1.805 -1.711 -1.674 ns
Table 1–67 lists the EP3SL110 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.100 3.330 4.687 5.079 5.586 5.447 5.731 5.200 5.706 5.570 5.803 ns
RSDS_E_1R — GCLK
tco 1.308 1.480 1.885 1.978 2.188 2.199 2.226 2.081 2.293 2.303 2.211 ns
PLL
GCLK tco 3.096 3.333 4.734 5.134 5.648 5.509 5.793 5.259 5.772 5.636 5.869 ns
RSDS_E_3R — GCLK
tco 1.304 1.483 1.932 2.033 2.250 2.261 2.288 2.140 2.359 2.369 2.277 ns
PLL
GCLK tco 3.127 3.363 4.758 5.157 5.670 5.531 5.815 5.280 5.792 5.656 5.889 ns
4mA GCLK
tco 1.335 1.513 1.956 2.056 2.272 2.283 2.310 2.161 2.379 2.389 2.297 ns
PLL
GCLK tco 3.117 3.353 4.748 5.146 5.660 5.521 5.805 5.269 5.782 5.646 5.879 ns
6mA GCLK
tco 1.325 1.503 1.946 2.045 2.262 2.273 2.300 2.150 2.369 2.379 2.287 ns
PLL
DIFFERENTIAL
1.2-V HSTL
GCLK tco 3.117 3.353 4.751 5.150 5.664 5.525 5.809 5.274 5.787 5.651 5.884 ns
CLASS I 8mA GCLK
tco 1.325 1.503 1.949 2.049 2.266 2.277 2.304 2.155 2.374 2.384 2.292 ns
PLL
GCLK tco 3.110 3.347 4.744 5.144 5.658 5.519 5.803 5.267 5.781 5.645 5.878 ns
10mA GCLK
tco 1.318 1.497 1.942 2.043 2.260 2.271 2.298 2.148 2.368 2.378 2.286 ns
PLL
GCLK tco 3.109 3.345 4.741 5.141 5.655 5.516 5.800 5.264 5.777 5.641 5.874 ns
12mA GCLK
tco 1.317 1.495 1.939 2.040 2.257 2.268 2.295 2.145 2.364 2.374 2.282 ns
PLL
DIFFERENTIAL GCLK tco 3.131 3.367 4.762 5.161 5.674 5.535 5.819 5.284 5.797 5.661 5.894 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 1.339 1.517 1.960 2.060 2.276 2.287 2.314 2.165 2.384 2.394 2.302 ns
PLL
GCLK tco 3.121 3.356 4.741 5.138 5.649 5.510 5.794 5.260 5.770 5.634 5.867 ns
4mA GCLK
tco 1.329 1.506 1.939 2.037 2.251 2.262 2.289 2.141 2.357 2.367 2.275 ns
PLL
GCLK tco 3.116 3.352 4.741 5.138 5.650 5.511 5.795 5.261 5.772 5.636 5.869 ns
6mA GCLK
tco 1.324 1.502 1.939 2.037 2.252 2.263 2.290 2.142 2.359 2.369 2.277 ns
PLL
DIFFERENTIAL
GCLK tco 3.114 3.350 4.740 5.137 5.648 5.509 5.793 5.260 5.771 5.635 5.868 ns
1.5-V HSTL
CLASS I 8mA GCLK
tco 1.322 1.500 1.938 2.036 2.250 2.261 2.288 2.141 2.358 2.368 2.276 ns
PLL
GCLK tco 3.106 3.341 4.730 5.127 5.639 5.500 5.784 5.250 5.761 5.625 5.858 ns
10mA GCLK
tco 1.314 1.491 1.928 2.026 2.241 2.252 2.279 2.131 2.348 2.358 2.266 ns
PLL
GCLK tco 3.107 3.343 4.736 5.134 5.647 5.508 5.792 5.258 5.770 5.634 5.867 ns
12mA GCLK
tco 1.315 1.493 1.934 2.033 2.249 2.260 2.287 2.139 2.357 2.367 2.275 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.340 4.719 5.115 5.625 5.486 5.770 5.237 5.746 5.610 5.843 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 1.314 1.490 1.917 2.014 2.227 2.238 2.265 2.118 2.333 2.343 2.251 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.118 3.353 4.737 5.133 5.643 5.504 5.788 5.256 5.765 5.629 5.862 ns
4mA GCLK
tco 1.326 1.503 1.935 2.032 2.245 2.256 2.283 2.137 2.352 2.362 2.270 ns
PLL
GCLK tco 3.114 3.350 4.738 5.135 5.647 5.508 5.792 5.258 5.769 5.633 5.866 ns
6mA GCLK
tco 1.322 1.500 1.936 2.034 2.249 2.260 2.287 2.139 2.356 2.366 2.274 ns
PLL
DIFFERENTIAL
GCLK tco 3.104 3.339 4.727 5.124 5.635 5.496 5.780 5.247 5.757 5.621 5.854 ns
1.8-V HSTL
CLASS I 8mA GCLK
tco 1.312 1.489 1.925 2.023 2.237 2.248 2.275 2.128 2.344 2.354 2.262 ns
PLL
GCLK tco 3.102 3.337 4.725 5.121 5.633 5.494 5.778 5.245 5.755 5.619 5.852 ns
10mA GCLK
tco 1.310 1.487 1.923 2.020 2.235 2.246 2.273 2.126 2.342 2.352 2.260 ns
PLL
GCLK tco 3.102 3.338 4.728 5.126 5.638 5.499 5.783 5.249 5.761 5.625 5.858 ns
12mA GCLK
tco 1.310 1.488 1.926 2.025 2.240 2.251 2.278 2.130 2.348 2.358 2.266 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.341 4.725 5.121 5.632 5.493 5.777 5.244 5.754 5.618 5.851 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 1.314 1.491 1.923 2.020 2.234 2.245 2.272 2.125 2.341 2.351 2.259 ns
PLL
GCLK tco 3.132 3.370 4.770 5.169 5.682 5.543 5.827 5.292 5.804 5.668 5.901 ns
4mA GCLK
tco 1.340 1.520 1.968 2.068 2.284 2.295 2.322 2.173 2.391 2.401 2.309 ns
PLL
GCLK tco 3.118 3.356 4.758 5.158 5.672 5.533 5.817 5.282 5.795 5.659 5.892 ns
6mA GCLK
tco 1.326 1.506 1.956 2.057 2.274 2.285 2.312 2.163 2.382 2.392 2.300 ns
PLL
DIFFERENTIAL
1.5-V SSTL
GCLK tco 3.106 3.343 4.741 5.140 5.654 5.515 5.799 5.264 5.777 5.641 5.874 ns
CLASS I 8mA GCLK
tco 1.314 1.493 1.939 2.039 2.256 2.267 2.294 2.145 2.364 2.374 2.282 ns
PLL
GCLK tco 3.106 3.343 4.744 5.144 5.658 5.519 5.803 5.268 5.782 5.646 5.879 ns
10mA GCLK
tco 1.314 1.493 1.942 2.043 2.260 2.271 2.298 2.149 2.369 2.379 2.287 ns
PLL
GCLK tco 3.102 3.339 4.737 5.136 5.651 5.512 5.796 5.261 5.774 5.638 5.871 ns
12mA GCLK
tco 1.310 1.489 1.935 2.035 2.253 2.264 2.291 2.142 2.361 2.371 2.279 ns
PLL
GCLK tco 3.106 3.341 4.730 5.127 5.639 5.500 5.784 5.250 5.761 5.625 5.858 ns
DIFFERENTIAL 8mA GCLK
tco 1.314 1.491 1.928 2.026 2.241 2.252 2.279 2.131 2.348 2.358 2.266 ns
1.5-V SSTL PLL
CLASS II GCLK tco 3.107 3.343 4.738 5.137 5.650 5.511 5.795 5.260 5.773 5.637 5.870 ns
16mA GCLK
tco 1.315 1.493 1.936 2.036 2.252 2.263 2.290 2.141 2.360 2.370 2.278 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.135 3.373 4.769 5.167 5.680 5.541 5.825 5.291 5.802 5.666 5.899 ns
4mA GCLK
tco 1.343 1.523 1.967 2.066 2.282 2.293 2.320 2.172 2.389 2.399 2.307 ns
PLL
GCLK tco 3.124 3.361 4.757 5.155 5.668 5.529 5.813 5.279 5.790 5.654 5.887 ns
6mA GCLK
tco 1.332 1.511 1.955 2.054 2.270 2.281 2.308 2.160 2.377 2.387 2.295 ns
PLL
DIFFERENTIAL
GCLK tco 3.119 3.357 4.757 5.156 5.669 5.530 5.814 5.280 5.792 5.656 5.889 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 1.327 1.507 1.955 2.055 2.271 2.282 2.309 2.161 2.379 2.389 2.297 ns
PLL
GCLK tco 3.105 3.342 4.739 5.137 5.650 5.511 5.795 5.261 5.774 5.638 5.871 ns
10mA GCLK
tco 1.313 1.492 1.937 2.036 2.252 2.263 2.290 2.142 2.361 2.371 2.279 ns
PLL
GCLK tco 3.103 3.340 4.737 5.135 5.648 5.509 5.793 5.259 5.771 5.635 5.868 ns
12mA GCLK
tco 1.311 1.490 1.935 2.034 2.250 2.261 2.288 2.140 2.358 2.368 2.276 ns
PLL
GCLK tco 3.107 3.342 4.729 5.125 5.636 5.497 5.781 5.248 5.758 5.622 5.855 ns
DIFFERENTIAL 8mA GCLK
1.8-V SSTL tco 1.315 1.492 1.927 2.024 2.238 2.249 2.276 2.129 2.345 2.355 2.263 ns
PLL
CLASS II GCLK tco 3.107 3.343 4.737 5.135 5.648 5.509 5.793 5.259 5.771 5.635 5.868 ns
16mA GCLK
tco 1.315 1.493 1.935 2.034 2.250 2.261 2.288 2.140 2.358 2.368 2.276 ns
PLL
GCLK tco 3.123 3.360 4.753 5.150 5.662 5.523 5.807 5.274 5.784 5.648 5.881 ns
8mA GCLK
tco 1.331 1.510 1.951 2.049 2.264 2.275 2.302 2.155 2.371 2.381 2.289 ns
PLL
DIFFERENTIAL
2.5-V SSTL
GCLK tco 3.123 3.360 4.753 5.150 5.662 5.523 5.807 5.274 5.784 5.648 5.881 ns
CLASS I 10mA GCLK
tco 1.331 1.510 1.951 2.049 2.264 2.275 2.302 2.155 2.371 2.381 2.289 ns
PLL
GCLK tco 3.113 3.350 4.743 5.140 5.652 5.513 5.797 5.264 5.775 5.639 5.872 ns
12mA GCLK
tco 1.321 1.500 1.941 2.039 2.254 2.265 2.292 2.145 2.362 2.372 2.280 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.342 4.729 5.125 5.636 5.497 5.781 5.248 5.758 5.622 5.855 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 1.314 1.492 1.927 2.024 2.238 2.249 2.276 2.129 2.345 2.355 2.263 ns
PLL
Table 1–68 lists the EP3SL110 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.711 2.894 4.033 4.387 4.843 4.717 4.978 4.486 4.943 4.816 5.035 ns
LVDS — GCLK
tco 0.934 1.059 1.248 1.300 1.459 1.480 1.491 1.379 1.542 1.561 1.459 ns
PLL
GCLK tco 3.106 3.342 4.709 5.107 5.611 5.475 5.730 5.229 5.736 5.599 5.802 ns
LVDS_E_1R — GCLK
tco 1.326 1.503 1.919 2.016 2.222 2.235 2.238 2.120 2.330 2.340 2.222 ns
PLL
GCLK tco 3.088 3.332 4.747 5.153 5.665 5.529 5.784 5.280 5.797 5.660 5.863 ns
LVDS_E_3R — GCLK
tco 1.308 1.493 1.957 2.062 2.276 2.289 2.292 2.171 2.391 2.401 2.283 ns
PLL
GCLK tco 2.711 2.894 4.033 4.387 4.843 4.717 4.978 4.486 4.943 4.816 5.035 ns
MINI-LVDS — GCLK
tco 0.934 1.059 1.248 1.300 1.459 1.480 1.491 1.379 1.542 1.561 1.459 ns
PLL
GCLK tco 3.106 3.342 4.709 5.107 5.611 5.475 5.730 5.229 5.736 5.599 5.802 ns
MINI-
— GCLK
LVDS_E_1R tco 1.326 1.503 1.919 2.016 2.222 2.235 2.238 2.120 2.330 2.340 2.222 ns
PLL
GCLK tco 3.088 3.332 4.747 5.153 5.665 5.529 5.784 5.280 5.797 5.660 5.863 ns
MINI-
— GCLK
LVDS_E_3R tco 1.308 1.493 1.957 2.062 2.276 2.289 2.292 2.171 2.391 2.401 2.283 ns
PLL
GCLK tco 2.711 2.894 4.033 4.387 4.843 4.717 4.978 4.486 4.943 4.816 5.035 ns
RSDS — GCLK
tco 0.934 1.059 1.248 1.300 1.459 1.480 1.491 1.379 1.542 1.561 1.459 ns
PLL
GCLK tco 3.106 3.342 4.709 5.107 5.611 5.475 5.730 5.229 5.736 5.599 5.802 ns
RSDS_E_1R — GCLK
tco 1.326 1.503 1.919 2.016 2.222 2.235 2.238 2.120 2.330 2.340 2.222 ns
PLL
GCLK tco 3.088 3.332 4.747 5.153 5.665 5.529 5.784 5.280 5.797 5.660 5.863 ns
RSDS_E_3R — GCLK
tco 1.308 1.493 1.957 2.062 2.276 2.289 2.292 2.171 2.391 2.401 2.283 ns
PLL
DIFFERENTIAL GCLK tco 3.132 3.375 4.783 5.187 5.698 5.562 5.817 5.313 5.826 5.689 5.892 ns
1.2-V 4mA GCLK
HSTL CLASS I tco 1.352 1.536 1.993 2.096 2.309 2.322 2.325 2.204 2.420 2.430 2.312 ns
PLL
DIFFERENTIAL GCLK tco 3.118 3.361 4.770 5.174 5.685 5.549 5.804 5.299 5.813 5.676 5.879 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 1.338 1.522 1.980 2.083 2.296 2.309 2.312 2.190 2.407 2.417 2.299 ns
PLL
DIFFERENTIAL GCLK tco 3.114 3.357 4.768 5.174 5.686 5.550 5.805 5.299 5.815 5.678 5.881 ns
1.2-V 8mA GCLK
HSTL CLASS I tco 1.334 1.518 1.978 2.083 2.297 2.310 2.313 2.190 2.409 2.419 2.301 ns
PLL
DIFFERENTIAL GCLK tco 3.130 3.372 4.769 5.171 5.680 5.544 5.799 5.296 5.808 5.671 5.874 ns
1.5-V 4mA GCLK
HSTL CLASS I tco 1.350 1.533 1.979 2.080 2.291 2.304 2.307 2.187 2.402 2.412 2.294 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
DIFFERENTIAL GCLK tco 3.119 3.362 4.765 5.167 5.677 5.541 5.796 5.293 5.805 5.668 5.871 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 1.339 1.523 1.975 2.076 2.288 2.301 2.304 2.184 2.399 2.409 2.291 ns
PLL
DIFFERENTIAL GCLK tco 3.116 3.359 4.763 5.165 5.675 5.539 5.794 5.291 5.804 5.667 5.870 ns
1.5-V 8mA GCLK
HSTL CLASS I tco 1.336 1.520 1.973 2.074 2.286 2.299 2.302 2.182 2.398 2.408 2.290 ns
PLL
DIFFERENTIAL GCLK tco 3.127 3.369 4.764 5.166 5.674 5.538 5.793 5.291 5.802 5.665 5.868 ns
1.8-V 4mA GCLK
HSTL CLASS I tco 1.347 1.530 1.974 2.075 2.285 2.298 2.301 2.182 2.396 2.406 2.288 ns
PLL
DIFFERENTIAL GCLK tco 3.117 3.360 4.762 5.164 5.673 5.537 5.792 5.290 5.802 5.665 5.868 ns
1.8-V 6mA GCLK
HSTL CLASS I tco 1.337 1.521 1.972 2.073 2.284 2.297 2.300 2.181 2.396 2.406 2.288 ns
PLL
DIFFERENTIAL GCLK tco 3.103 3.346 4.747 5.149 5.659 5.523 5.778 5.275 5.787 5.650 5.853 ns
1.8-V 8mA GCLK
HSTL CLASS I tco 1.323 1.507 1.957 2.058 2.270 2.283 2.286 2.166 2.381 2.391 2.273 ns
PLL
DIFFERENTIAL GCLK tco 3.100 3.342 4.743 5.145 5.655 5.519 5.774 5.271 5.783 5.646 5.849 ns
1.8-V 10mA GCLK
HSTL CLASS I tco 1.320 1.503 1.953 2.054 2.266 2.279 2.282 2.162 2.377 2.387 2.269 ns
PLL
DIFFERENTIAL GCLK tco 3.097 3.340 4.744 5.148 5.658 5.522 5.777 5.274 5.787 5.650 5.853 ns
1.8-V 12mA GCLK
HSTL CLASS I tco 1.317 1.501 1.954 2.057 2.269 2.282 2.285 2.165 2.381 2.391 2.273 ns
PLL
DIFFERENTIAL GCLK tco 3.098 3.340 4.734 5.136 5.645 5.509 5.764 5.261 5.773 5.636 5.839 ns
1.8-V 16mA GCLK
HSTL CLASS II tco 1.318 1.501 1.944 2.045 2.256 2.269 2.272 2.152 2.367 2.377 2.259 ns
PLL
DIFFERENTIAL GCLK tco 3.147 3.393 4.805 5.209 5.721 5.585 5.840 5.335 5.849 5.712 5.915 ns
1.5-V 4mA GCLK
SSTL CLASS I tco 1.367 1.554 2.015 2.118 2.332 2.345 2.348 2.226 2.443 2.453 2.335 ns
PLL
DIFFERENTIAL GCLK tco 3.123 3.369 4.787 5.192 5.704 5.568 5.823 5.318 5.834 5.697 5.900 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 1.343 1.530 1.997 2.101 2.315 2.328 2.331 2.209 2.428 2.438 2.320 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.350 4.765 5.170 5.682 5.546 5.801 5.296 5.812 5.675 5.878 ns
1.5-V 8mA GCLK
SSTL CLASS I tco 1.326 1.511 1.975 2.079 2.293 2.306 2.309 2.187 2.406 2.416 2.298 ns
PLL
DIFFERENTIAL GCLK tco 3.151 3.396 4.805 5.209 5.720 5.584 5.839 5.335 5.849 5.712 5.915 ns
1.8-V 4mA GCLK
SSTL CLASS I tco 1.371 1.557 2.015 2.118 2.331 2.344 2.347 2.226 2.443 2.453 2.335 ns
PLL
DIFFERENTIAL GCLK tco 3.136 3.381 4.791 5.194 5.705 5.569 5.824 5.320 5.834 5.697 5.900 ns
1.8-V 6mA GCLK
SSTL CLASS I tco 1.356 1.542 2.001 2.103 2.316 2.329 2.332 2.211 2.428 2.438 2.320 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
DIFFERENTIAL GCLK tco 3.125 3.370 4.786 5.191 5.702 5.566 5.821 5.317 5.832 5.695 5.898 ns
1.8-V 8mA GCLK
SSTL CLASS I tco 1.345 1.531 1.996 2.100 2.313 2.326 2.329 2.208 2.426 2.436 2.318 ns
PLL
DIFFERENTIAL GCLK tco 3.105 3.350 4.763 5.167 5.679 5.543 5.798 5.294 5.808 5.671 5.874 ns
1.8-V 10mA GCLK
SSTL CLASS I tco 1.325 1.511 1.973 2.076 2.290 2.303 2.306 2.185 2.402 2.412 2.294 ns
PLL
DIFFERENTIAL GCLK tco 3.102 3.346 4.759 5.164 5.675 5.539 5.794 5.290 5.805 5.668 5.871 ns
1.8-V 12mA GCLK
SSTL CLASS I tco 1.322 1.507 1.969 2.073 2.286 2.299 2.302 2.181 2.399 2.409 2.291 ns
PLL
DIFFERENTIAL GCLK tco 3.107 3.350 4.750 5.152 5.661 5.525 5.780 5.277 5.789 5.652 5.855 ns
1.8-V 8mA GCLK
SSTL CLASS II tco 1.327 1.511 1.960 2.061 2.272 2.285 2.288 2.168 2.383 2.393 2.275 ns
PLL
DIFFERENTIAL GCLK tco 3.100 3.343 4.749 5.153 5.664 5.528 5.783 5.280 5.794 5.657 5.860 ns
1.8-V 16mA GCLK
SSTL CLASS II tco 1.320 1.504 1.959 2.062 2.275 2.288 2.291 2.171 2.388 2.398 2.280 ns
PLL
DIFFERENTIAL GCLK tco 3.138 3.382 4.787 5.190 5.700 5.564 5.819 5.316 5.829 5.692 5.895 ns
2.5-V 8mA GCLK
SSTL CLASS I tco 1.358 1.543 1.997 2.099 2.311 2.324 2.327 2.207 2.423 2.433 2.315 ns
PLL
DIFFERENTIAL GCLK tco 3.120 3.365 4.772 5.175 5.685 5.549 5.804 5.301 5.814 5.677 5.880 ns
2.5-V 12mA GCLK
SSTL CLASS I tco 1.340 1.526 1.982 2.084 2.296 2.309 2.312 2.192 2.408 2.418 2.300 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.349 4.749 5.151 5.660 5.524 5.779 5.277 5.789 5.652 5.855 ns
2.5-V 16mA GCLK
SSTL CLASS II tco 1.326 1.510 1.959 2.060 2.271 2.284 2.287 2.168 2.383 2.393 2.275 ns
PLL
Table 1–69 and Table 1–70 list the EP3SL110 regional clock (RCLK) adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–69 lists the EP3SL110 column pin delay adders when using the regional clock.
Table 1–69. EP3SL110 Column Pin Delay Adders for Regional Clock
Table 1–70 lists the EP3SL110 row pin delay adders when using the regional clock.
Table 1–70. EP3SL110 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.086 0.109 0.158 0.16 0.161 0.159 0.281 0.137 0.153 0.153 0.285 ns
RCLK PLL input adder 0.075 0.075 0.117 0.123 0.129 0.125 0.222 0.113 0.118 0.114 0.226 ns
RCLK output adder -0.072 -0.097 -0.137 -0.135 -0.116 -0.134 -0.24 -0.11 -0.104 -0.124 -0.244 ns
RCLK PLL output adder -0.063 -0.065 -0.097 -0.1 -0.104 -0.101 -0.198 -0.088 -0.09 -0.088 -0.201 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.014 -0.992 -1.450 -1.608 -1.813 -1.794 -2.122 -1.608 -1.813 -1.794 -2.122 ns
GCLK
th 1.149 1.123 1.632 1.817 2.043 2.012 2.343 1.817 2.043 2.012 2.343 ns
1.8 V
GCLK tsu -1.306 -1.249 -1.819 -2.034 -2.213 -2.139 -2.558 -2.034 -2.213 -2.139 -2.558 ns
PLL th 1.586 1.529 2.223 2.489 2.713 2.614 3.050 2.489 2.713 2.614 3.050 ns
tsu -1.004 -0.982 -1.427 -1.576 -1.743 -1.724 -2.052 -1.576 -1.743 -1.724 -2.052 ns
GCLK
th 1.139 1.113 1.609 1.785 1.973 1.942 2.273 1.785 1.973 1.942 2.273 ns
1.5 V
GCLK tsu -1.296 -1.239 -1.796 -2.002 -2.143 -2.069 -2.488 -2.002 -2.143 -2.069 -2.488 ns
PLL th 1.576 1.519 2.200 2.457 2.643 2.544 2.980 2.457 2.643 2.544 2.980 ns
tsu -0.952 -0.930 -1.350 -1.477 -1.587 -1.568 -1.896 -1.477 -1.587 -1.568 -1.896 ns
GCLK
th 1.087 1.061 1.532 1.686 1.817 1.786 2.117 1.686 1.817 1.786 2.117 ns
1.2 V
GCLK tsu -1.244 -1.187 -1.719 -1.903 -1.987 -1.913 -2.332 -1.903 -1.987 -1.913 -2.332 ns
PLL th 1.524 1.467 2.123 2.358 2.487 2.388 2.824 2.358 2.487 2.388 2.824 ns
tsu -0.923 -0.901 -1.322 -1.461 -1.589 -1.570 -1.898 -1.461 -1.589 -1.570 -1.898 ns
GCLK
SSTL-2 th 1.058 1.032 1.504 1.670 1.819 1.788 2.119 1.670 1.819 1.788 2.119 ns
CLASS I tsu -1.215 -1.158 -1.691 -1.887 -1.989 -1.915 -2.334 -1.887 -1.989 -1.915 -2.334 ns
GCLK
PLL th 1.495 1.438 2.095 2.342 2.489 2.390 2.826 2.342 2.489 2.390 2.826 ns
tsu -0.923 -0.901 -1.322 -1.461 -1.589 -1.570 -1.898 -1.461 -1.589 -1.570 -1.898 ns
GCLK
SSTL-2 th 1.058 1.032 1.504 1.670 1.819 1.788 2.119 1.670 1.819 1.788 2.119 ns
CLASS II tsu -1.215 -1.158 -1.691 -1.887 -1.989 -1.915 -2.334 -1.887 -1.989 -1.915 -2.334 ns
GCLK
PLL th 1.495 1.438 2.095 2.342 2.489 2.390 2.826 2.342 2.489 2.390 2.826 ns
tsu -0.917 -0.895 -1.309 -1.453 -1.586 -1.565 -1.896 -1.453 -1.586 -1.565 -1.896 ns
GCLK
SSTL-18 th 1.052 1.026 1.491 1.659 1.813 1.782 2.112 1.659 1.813 1.782 2.112 ns
CLASS I tsu -1.209 -1.152 -1.678 -1.879 -1.986 -1.910 -2.332 -1.879 -1.986 -1.910 -2.332 ns
GCLK
PLL th 1.489 1.432 2.082 2.331 2.483 2.384 2.819 2.331 2.483 2.384 2.819 ns
tsu -0.917 -0.895 -1.309 -1.453 -1.586 -1.565 -1.896 -1.453 -1.586 -1.565 -1.896 ns
GCLK
SSTL-18 th 1.052 1.026 1.491 1.659 1.813 1.782 2.112 1.659 1.813 1.782 2.112 ns
CLASS II tsu -1.209 -1.152 -1.678 -1.879 -1.986 -1.910 -2.332 -1.879 -1.986 -1.910 -2.332 ns
GCLK
PLL th 1.489 1.432 2.082 2.331 2.483 2.384 2.819 2.331 2.483 2.384 2.819 ns
tsu -0.906 -0.884 -1.298 -1.442 -1.567 -1.546 -1.877 -1.442 -1.567 -1.546 -1.877 ns
GCLK
SSTL-15 th 1.041 1.015 1.479 1.648 1.794 1.763 2.093 1.648 1.794 1.763 2.093 ns
CLASS I tsu -1.198 -1.141 -1.667 -1.868 -1.967 -1.891 -2.313 -1.868 -1.967 -1.891 -2.313 ns
GCLK
PLL th 1.478 1.421 2.070 2.320 2.464 2.365 2.800 2.320 2.464 2.365 2.800 ns
tsu -0.906 -0.884 -1.298 -1.442 -1.567 -1.546 -1.877 -1.442 -1.567 -1.546 -1.877 ns
GCLK
1.8-V HSTL th 1.041 1.015 1.479 1.648 1.794 1.763 2.093 1.648 1.794 1.763 2.093 ns
CLASS I tsu -1.198 -1.141 -1.667 -1.868 -1.967 -1.891 -2.313 -1.868 -1.967 -1.891 -2.313 ns
GCLK
PLL th 1.478 1.421 2.070 2.320 2.464 2.365 2.800 2.320 2.464 2.365 2.800 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.917 -0.895 -1.309 -1.453 -1.586 -1.565 -1.896 -1.453 -1.586 -1.565 -1.896 ns
GCLK
1.8-V HSTL th 1.052 1.026 1.491 1.659 1.813 1.782 2.112 1.659 1.813 1.782 2.112 ns
CLASS II tsu -1.209 -1.152 -1.678 -1.879 -1.986 -1.910 -2.332 -1.879 -1.986 -1.910 -2.332 ns
GCLK
PLL th 1.489 1.432 2.082 2.331 2.483 2.384 2.819 2.331 2.483 2.384 2.819 ns
tsu -0.917 -0.895 -1.309 -1.453 -1.586 -1.565 -1.896 -1.453 -1.586 -1.565 -1.896 ns
GCLK
1.5-V HSTL th 1.052 1.026 1.491 1.659 1.813 1.782 2.112 1.659 1.813 1.782 2.112 ns
CLASS I tsu -1.209 -1.152 -1.678 -1.879 -1.986 -1.910 -2.332 -1.879 -1.986 -1.910 -2.332 ns
GCLK
PLL th 1.489 1.432 2.082 2.331 2.483 2.384 2.819 2.331 2.483 2.384 2.819 ns
tsu -0.906 -0.884 -1.298 -1.442 -1.567 -1.546 -1.877 -1.442 -1.567 -1.546 -1.877 ns
GCLK
1.5-V HSTL th 1.041 1.015 1.479 1.648 1.794 1.763 2.093 1.648 1.794 1.763 2.093 ns
CLASS II tsu -1.198 -1.141 -1.667 -1.868 -1.967 -1.891 -2.313 -1.868 -1.967 -1.891 -2.313 ns
GCLK
PLL th 1.478 1.421 2.070 2.320 2.464 2.365 2.800 2.320 2.464 2.365 2.800 ns
tsu -0.906 -0.884 -1.298 -1.442 -1.567 -1.546 -1.877 -1.442 -1.567 -1.546 -1.877 ns
GCLK
1.2-V HSTL th 1.041 1.015 1.479 1.648 1.794 1.763 2.093 1.648 1.794 1.763 2.093 ns
CLASS I tsu -1.198 -1.141 -1.667 -1.868 -1.967 -1.891 -2.313 -1.868 -1.967 -1.891 -2.313 ns
GCLK
PLL th 1.478 1.421 2.070 2.320 2.464 2.365 2.800 2.320 2.464 2.365 2.800 ns
tsu -0.894 -0.872 -1.288 -1.431 -1.551 -1.530 -1.861 -1.431 -1.551 -1.530 -1.861 ns
GCLK
1.2-V HSTL th 1.029 1.003 1.469 1.637 1.778 1.747 2.077 1.637 1.778 1.747 2.077 ns
CLASS II tsu -1.186 -1.129 -1.657 -1.857 -1.951 -1.875 -2.297 -1.857 -1.951 -1.875 -2.297 ns
GCLK
PLL th 1.466 1.409 2.060 2.309 2.448 2.349 2.784 2.309 2.448 2.349 2.784 ns
tsu -0.894 -0.872 -1.288 -1.431 -1.551 -1.530 -1.861 -1.431 -1.551 -1.530 -1.861 ns
GCLK
th 1.029 1.003 1.469 1.637 1.778 1.747 2.077 1.637 1.778 1.747 2.077 ns
3.0-V PCI
GCLK tsu -1.186 -1.129 -1.657 -1.857 -1.951 -1.875 -2.297 -1.857 -1.951 -1.875 -2.297 ns
PLL th 1.466 1.409 2.060 2.309 2.448 2.349 2.784 2.309 2.448 2.349 2.784 ns
tsu -0.997 -0.975 -1.401 -1.560 -1.796 -1.777 -2.105 -1.560 -1.796 -1.777 -2.105 ns
GCLK
3.0-V th 1.130 1.104 1.583 1.769 2.026 1.995 2.326 1.769 2.026 1.995 2.326 ns
PCI-X tsu -1.289 -1.232 -1.770 -1.986 -2.196 -2.122 -2.541 -1.986 -2.196 -2.122 -2.541 ns
GCLK
PLL th 1.567 1.510 2.174 2.441 2.696 2.597 3.033 2.441 2.696 2.597 3.033 ns
Table 1–72 lists the EP3SL150 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL = VCCL = VCCL= VCCL = VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.925 -0.964 -1.360 -1.470 -1.682 -1.633 -1.954 -1.480 -1.679 -1.634 -1.993 ns
GCLK
th 1.040 1.094 1.544 1.677 1.910 1.851 2.173 1.698 1.917 1.860 2.212 ns
3.3-V LVTTL
GCLK tsu 0.988 1.009 1.642 1.858 1.971 1.855 1.796 1.865 1.993 1.875 1.848 ns
PLL th -0.737 -0.741 -1.241 -1.407 -1.471 -1.383 -1.314 -1.407 -1.482 -1.393 -1.363 ns
tsu -0.925 -0.964 -1.360 -1.470 -1.682 -1.633 -1.954 -1.480 -1.679 -1.634 -1.993 ns
GCLK
3.3-V th 1.040 1.094 1.544 1.677 1.910 1.851 2.173 1.698 1.917 1.860 2.212 ns
LVCMOS tsu 0.988 1.009 1.642 1.858 1.971 1.855 1.796 1.865 1.993 1.875 1.848 ns
GCLK
PLL th -0.737 -0.741 -1.241 -1.407 -1.471 -1.383 -1.314 -1.407 -1.482 -1.393 -1.363 ns
tsu -0.931 -0.975 -1.357 -1.471 -1.685 -1.636 -1.957 -1.479 -1.684 -1.639 -1.998 ns
GCLK
th 1.046 1.105 1.541 1.678 1.913 1.854 2.176 1.697 1.922 1.865 2.217 ns
3.0-V LVTTL
GCLK tsu 0.982 0.998 1.645 1.857 1.968 1.852 1.793 1.866 1.988 1.870 1.843 ns
PLL th -0.731 -0.730 -1.244 -1.406 -1.468 -1.380 -1.311 -1.408 -1.477 -1.388 -1.358 ns
tsu -0.931 -0.975 -1.357 -1.471 -1.685 -1.636 -1.957 -1.479 -1.684 -1.639 -1.998 ns
GCLK
3.0-V th 1.046 1.105 1.541 1.678 1.913 1.854 2.176 1.697 1.922 1.865 2.217 ns
LVCMOS tsu 0.982 0.998 1.645 1.857 1.968 1.852 1.793 1.866 1.988 1.870 1.843 ns
GCLK
PLL th -0.731 -0.730 -1.244 -1.406 -1.468 -1.380 -1.311 -1.408 -1.477 -1.388 -1.358 ns
tsu -0.919 -0.968 -1.366 -1.484 -1.700 -1.651 -1.972 -1.488 -1.694 -1.649 -2.008 ns
GCLK
th 1.034 1.098 1.550 1.691 1.928 1.869 2.191 1.706 1.932 1.875 2.227 ns
2.5 V
GCLK tsu 0.994 1.005 1.636 1.844 1.953 1.837 1.778 1.857 1.978 1.860 1.833 ns
PLL th -0.743 -0.737 -1.235 -1.393 -1.453 -1.365 -1.296 -1.399 -1.467 -1.378 -1.348 ns
tsu -0.949 -1.000 -1.406 -1.530 -1.590 -1.662 -1.875 -1.521 -1.582 -1.663 -1.913 ns
GCLK
th 1.065 1.131 1.590 1.738 1.824 1.880 2.098 1.739 1.826 1.889 2.137 ns
1.8 V
GCLK tsu 0.964 0.966 1.589 1.806 1.955 1.835 1.780 1.824 1.977 1.854 1.832 ns
PLL th -0.712 -0.698 -1.190 -1.356 -1.455 -1.362 -1.298 -1.366 -1.466 -1.372 -1.347 ns
tsu -0.939 -0.989 -1.382 -1.498 -1.522 -1.594 -1.807 -1.490 -1.517 -1.598 -1.848 ns
GCLK
th 1.055 1.120 1.566 1.706 1.756 1.812 2.030 1.708 1.761 1.824 2.072 ns
1.5 V
GCLK tsu 0.974 0.977 1.613 1.838 2.023 1.903 1.848 1.855 2.042 1.919 1.897 ns
PLL th -0.722 -0.709 -1.214 -1.388 -1.523 -1.430 -1.366 -1.397 -1.531 -1.437 -1.412 ns
tsu -0.879 -0.936 -1.303 -1.397 -1.363 -1.435 -1.648 -1.394 -1.362 -1.443 -1.693 ns
GCLK
th 0.995 1.067 1.487 1.605 1.597 1.653 1.871 1.612 1.606 1.669 1.917 ns
1.2 V
GCLK tsu 1.034 1.030 1.692 1.939 2.182 2.062 2.007 1.951 2.197 2.074 2.052 ns
PLL th -0.782 -0.762 -1.293 -1.489 -1.682 -1.589 -1.525 -1.493 -1.686 -1.592 -1.567 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL = VCCL = VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.862 -0.910 -1.280 -1.375 -1.480 -1.431 -1.752 -1.376 -1.477 -1.432 -1.791 ns
GCLK
SSTL-2 th 0.978 1.041 1.464 1.582 1.708 1.649 1.971 1.594 1.715 1.658 2.010 ns
CLASS I tsu 1.051 1.063 1.722 1.953 2.173 2.057 1.998 1.969 2.195 2.077 2.050 ns
GCLK
PLL th -0.799 -0.794 -1.321 -1.502 -1.673 -1.585 -1.516 -1.511 -1.684 -1.595 -1.565 ns
tsu -0.862 -0.910 -1.280 -1.375 -1.480 -1.431 -1.752 -1.376 -1.477 -1.432 -1.791 ns
GCLK
SSTL-2 th 0.978 1.041 1.464 1.582 1.708 1.649 1.971 1.594 1.715 1.658 2.010 ns
CLASS II tsu 1.051 1.063 1.722 1.953 2.173 2.057 1.998 1.969 2.195 2.077 2.050 ns
GCLK
PLL th -0.799 -0.794 -1.321 -1.502 -1.673 -1.585 -1.516 -1.511 -1.684 -1.595 -1.565 ns
tsu -0.853 -0.901 -1.265 -1.370 -1.361 -1.429 -1.646 -1.362 -1.358 -1.435 -1.688 ns
GCLK
SSTL-18 th 0.969 1.032 1.449 1.576 1.592 1.646 1.865 1.578 1.599 1.660 1.908 ns
CLASS I tsu 1.060 1.065 1.730 1.966 2.187 2.068 2.011 1.983 2.204 2.082 2.059 ns
GCLK
PLL th -0.808 -0.797 -1.331 -1.518 -1.689 -1.596 -1.533 -1.527 -1.696 -1.601 -1.578 ns
tsu -0.853 -0.901 -1.265 -1.370 -1.361 -1.429 -1.646 -1.362 -1.358 -1.435 -1.688 ns
GCLK
SSTL-18 th 0.969 1.032 1.449 1.576 1.592 1.646 1.865 1.578 1.599 1.660 1.908 ns
CLASS II tsu 1.060 1.065 1.730 1.966 2.187 2.068 2.011 1.983 2.204 2.082 2.059 ns
GCLK
PLL th -0.808 -0.797 -1.331 -1.518 -1.689 -1.596 -1.533 -1.527 -1.696 -1.601 -1.578 ns
tsu -0.839 -0.889 -1.250 -1.360 -1.343 -1.411 -1.628 -1.351 -1.341 -1.418 -1.671 ns
GCLK
SSTL-15 th 0.955 1.020 1.435 1.566 1.574 1.628 1.847 1.567 1.582 1.643 1.891 ns
CLASS I tsu 1.074 1.077 1.745 1.976 2.205 2.086 2.029 1.994 2.221 2.099 2.076 ns
GCLK
PLL th -0.822 -0.809 -1.345 -1.528 -1.707 -1.614 -1.551 -1.538 -1.713 -1.618 -1.595 ns
tsu -0.853 -0.901 -1.265 -1.370 -1.361 -1.429 -1.646 -1.362 -1.358 -1.435 -1.688 ns
GCLK
1.8-V HSTL th 0.969 1.032 1.449 1.576 1.592 1.646 1.865 1.578 1.599 1.660 1.908 ns
CLASS I tsu 1.060 1.065 1.730 1.966 2.187 2.068 2.011 1.983 2.204 2.082 2.059 ns
GCLK
PLL th -0.808 -0.797 -1.331 -1.518 -1.689 -1.596 -1.533 -1.527 -1.696 -1.601 -1.578 ns
tsu -0.853 -0.901 -1.265 -1.370 -1.361 -1.429 -1.646 -1.362 -1.358 -1.435 -1.688 ns
GCLK
1.8-V HSTL th 0.969 1.032 1.449 1.576 1.592 1.646 1.865 1.578 1.599 1.660 1.908 ns
CLASS II tsu 1.060 1.065 1.730 1.966 2.187 2.068 2.011 1.983 2.204 2.082 2.059 ns
GCLK
PLL th -0.808 -0.797 -1.331 -1.518 -1.689 -1.596 -1.533 -1.527 -1.696 -1.601 -1.578 ns
tsu -0.839 -0.889 -1.250 -1.360 -1.343 -1.411 -1.628 -1.351 -1.341 -1.418 -1.671 ns
GCLK
1.5-V HSTL th 0.955 1.020 1.435 1.566 1.574 1.628 1.847 1.567 1.582 1.643 1.891 ns
CLASS I tsu 1.074 1.077 1.745 1.976 2.205 2.086 2.029 1.994 2.221 2.099 2.076 ns
GCLK
PLL th -0.822 -0.809 -1.345 -1.528 -1.707 -1.614 -1.551 -1.538 -1.713 -1.618 -1.595 ns
tsu -0.839 -0.889 -1.250 -1.360 -1.343 -1.411 -1.628 -1.351 -1.341 -1.418 -1.671 ns
GCLK
1.5-V HSTL th 0.955 1.020 1.435 1.566 1.574 1.628 1.847 1.567 1.582 1.643 1.891 ns
CLASS II tsu 1.074 1.077 1.745 1.976 2.205 2.086 2.029 1.994 2.221 2.099 2.076 ns
GCLK
PLL th -0.822 -0.809 -1.345 -1.528 -1.707 -1.614 -1.551 -1.538 -1.713 -1.618 -1.595 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL = VCCL = VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.830 -0.877 -1.241 -1.350 -1.327 -1.395 -1.612 -1.342 -1.325 -1.402 -1.655 ns
GCLK
1.2-V HSTL th 0.946 1.008 1.426 1.556 1.558 1.612 1.831 1.558 1.566 1.627 1.875 ns
CLASS I tsu 1.083 1.089 1.754 1.986 2.221 2.102 2.045 2.003 2.237 2.115 2.092 ns
GCLK
PLL th -0.831 -0.821 -1.354 -1.538 -1.723 -1.630 -1.567 -1.547 -1.729 -1.634 -1.611 ns
tsu -0.830 -0.877 -1.241 -1.350 -1.327 -1.395 -1.612 -1.342 -1.325 -1.402 -1.655 ns
GCLK
1.2-V HSTL th 0.946 1.008 1.426 1.556 1.558 1.612 1.831 1.558 1.566 1.627 1.875 ns
CLASS II tsu 1.083 1.089 1.754 1.986 2.221 2.102 2.045 2.003 2.237 2.115 2.092 ns
GCLK
PLL th -0.831 -0.821 -1.354 -1.538 -1.723 -1.630 -1.567 -1.547 -1.729 -1.634 -1.611 ns
tsu -0.931 -0.975 -1.357 -1.471 -1.685 -1.636 -1.957 -1.479 -1.684 -1.639 -1.998 ns
GCLK
th 1.046 1.105 1.541 1.678 1.913 1.854 2.176 1.697 1.922 1.865 2.217 ns
3.0-V PCI
GCLK tsu 0.982 0.998 1.645 1.857 1.968 1.852 1.793 1.866 1.988 1.870 1.843 ns
PLL th -0.731 -0.730 -1.244 -1.406 -1.468 -1.380 -1.311 -1.408 -1.477 -1.388 -1.358 ns
tsu -0.931 -0.975 -1.357 -1.471 -1.685 -1.636 -1.957 -1.479 -1.684 -1.639 -1.998 ns
GCLK
3.0-V th 1.046 1.105 1.541 1.678 1.913 1.854 2.176 1.697 1.922 1.865 2.217 ns
PCI-X tsu 0.982 0.998 1.645 1.857 1.968 1.852 1.793 1.866 1.988 1.870 1.843 ns
GCLK
PLL th -0.731 -0.730 -1.244 -1.406 -1.468 -1.380 -1.311 -1.408 -1.477 -1.388 -1.358 ns
Table 1–73 lists the EP3SL150 column pins output timing parameters for single-ended
I/O standards.
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.422 3.439 4.768 5.127 5.625 5.473 5.808 5.127 5.625 5.473 5.808 ns
4mA GCLK
tco 3.898 3.841 5.355 5.880 6.341 6.161 6.543 5.880 6.341 6.161 6.543 ns
PLL
GCLK tco 3.355 3.372 4.659 5.016 5.512 5.360 5.695 5.016 5.512 5.360 5.695 ns
8mA GCLK
tco 3.781 3.774 5.246 5.718 6.228 6.048 6.430 5.718 6.228 6.048 6.430 ns
3.3-V PLL
LVTTL GCLK tco 3.269 3.286 4.555 4.918 5.420 5.268 5.603 4.918 5.420 5.268 5.603 ns
12mA GCLK
tco 3.692 3.688 5.142 5.583 6.136 5.956 6.338 5.583 6.136 5.956 6.338 ns
PLL
GCLK tco 3.262 3.279 4.538 4.890 5.379 5.227 5.562 4.890 5.379 5.227 5.562 ns
16mA GCLK
tco 3.685 3.681 5.125 5.555 6.095 5.915 6.297 5.555 6.095 5.915 6.297 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.428 3.445 4.772 5.132 5.632 5.480 5.815 5.132 5.632 5.480 5.815 ns
4mA GCLK
tco 3.901 3.847 5.359 5.892 6.348 6.168 6.550 5.892 6.348 6.168 6.550 ns
PLL
GCLK tco 3.273 3.290 4.565 4.935 5.431 5.279 5.614 4.935 5.431 5.279 5.614 ns
8mA GCLK
tco 3.701 3.692 5.152 5.600 6.147 5.967 6.349 5.600 6.147 5.967 6.349 ns
3.3-V PLL
LVCMOS GCLK tco 3.280 3.297 4.559 4.914 5.405 5.253 5.588 4.914 5.405 5.253 5.588 ns
12mA GCLK
tco 3.703 3.699 5.146 5.579 6.121 5.941 6.323 5.579 6.121 5.941 6.323 ns
PLL
GCLK tco 3.264 3.281 4.537 4.888 5.376 5.224 5.559 4.888 5.376 5.224 5.559 ns
16mA GCLK
tco 3.687 3.683 5.124 5.553 6.092 5.912 6.294 5.553 6.092 5.912 6.294 ns
PLL
GCLK tco 3.386 3.403 4.735 5.096 5.592 5.440 5.775 5.096 5.592 5.440 5.775 ns
4mA GCLK
tco 3.843 3.805 5.322 5.831 6.308 6.128 6.510 5.831 6.308 6.128 6.510 ns
PLL
GCLK tco 3.275 3.292 4.605 4.962 5.455 5.303 5.637 4.962 5.455 5.303 5.637 ns
8mA GCLK
tco 3.713 3.694 5.192 5.659 6.170 5.991 6.371 5.659 6.170 5.991 6.371 ns
3.0-V PLL
LVTTL GCLK tco 3.239 3.256 4.542 4.893 5.381 5.229 5.563 4.893 5.381 5.229 5.563 ns
12mA GCLK
tco 3.662 3.658 5.129 5.560 6.096 5.917 6.298 5.560 6.096 5.917 6.298 ns
PLL
GCLK tco 3.221 3.238 4.513 4.865 5.352 5.200 5.535 4.865 5.352 5.200 5.535 ns
16mA GCLK
tco 3.644 3.640 5.100 5.530 6.070 5.888 6.270 5.530 6.070 5.888 6.270 ns
PLL
GCLK tco 3.300 3.317 4.639 4.995 5.490 5.338 5.672 4.995 5.490 5.338 5.672 ns
4mA GCLK
tco 3.751 3.719 5.226 5.712 6.205 6.026 6.406 5.712 6.205 6.026 6.406 ns
PLL
GCLK tco 3.221 3.238 4.515 4.866 5.355 5.203 5.537 4.866 5.355 5.203 5.537 ns
8mA GCLK
tco 3.644 3.640 5.102 5.531 6.083 5.891 6.271 5.531 6.083 5.891 6.271 ns
3.0-V PLL
LVCMOS GCLK tco 3.216 3.233 4.508 4.859 5.346 5.194 5.529 4.859 5.346 5.194 5.529 ns
12mA GCLK
tco 3.639 3.635 5.095 5.524 6.062 5.882 6.264 5.524 6.062 5.882 6.264 ns
PLL
GCLK tco 3.207 3.224 4.494 4.844 5.331 5.179 5.514 4.844 5.331 5.179 5.514 ns
16mA GCLK
tco 3.630 3.626 5.081 5.509 6.057 5.867 6.249 5.509 6.057 5.867 6.249 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.422 3.439 4.846 5.222 5.737 5.585 5.919 5.222 5.737 5.585 5.919 ns
4mA GCLK
tco 3.898 3.841 5.433 5.991 6.452 6.273 6.654 5.991 6.452 6.273 6.654 ns
PLL
GCLK tco 3.322 3.339 4.727 5.096 5.605 5.453 5.787 5.096 5.605 5.453 5.787 ns
8mA GCLK
tco 3.773 3.741 5.314 5.807 6.320 6.141 6.521 5.807 6.320 6.141 6.521 ns
PLL
2.5 V
GCLK tco 3.278 3.295 4.640 5.006 5.509 5.357 5.692 5.006 5.509 5.357 5.692 ns
12mA GCLK
tco 3.701 3.697 5.227 5.671 6.225 6.045 6.427 5.671 6.225 6.045 6.427 ns
PLL
GCLK tco 3.240 3.257 4.601 4.963 5.466 5.314 5.649 4.963 5.466 5.314 5.649 ns
16mA GCLK
tco 3.663 3.659 5.188 5.628 6.182 6.002 6.384 5.628 6.182 6.002 6.384 ns
PLL
GCLK tco 3.613 3.630 5.167 5.582 6.141 5.989 6.324 5.582 6.141 5.989 6.324 ns
2mA GCLK
tco 4.161 4.032 5.754 6.474 6.857 6.677 7.059 6.474 6.857 6.677 7.059 ns
PLL
GCLK tco 3.432 3.449 4.888 5.273 5.794 5.642 5.976 5.273 5.794 5.642 5.976 ns
4mA GCLK
tco 3.920 3.851 5.475 6.068 6.509 6.330 6.710 6.068 6.509 6.330 6.710 ns
PLL
GCLK tco 3.350 3.367 4.781 5.158 5.683 5.531 5.866 5.158 5.683 5.531 5.866 ns
6mA GCLK
tco 3.802 3.769 5.368 5.878 6.399 6.219 6.601 5.878 6.399 6.219 6.601 ns
PLL
1.8 V
GCLK tco 3.330 3.347 4.723 5.105 5.617 5.465 5.800 5.105 5.617 5.465 5.800 ns
8mA GCLK
tco 3.753 3.749 5.310 5.780 6.333 6.153 6.535 5.780 6.333 6.153 6.535 ns
PLL
GCLK tco 3.267 3.284 4.662 5.030 5.536 5.384 5.719 5.030 5.536 5.384 5.719 ns
10mA GCLK
tco 3.690 3.686 5.249 5.695 6.252 6.072 6.454 5.695 6.252 6.072 6.454 ns
PLL
GCLK tco 3.249 3.266 4.641 5.008 5.513 5.361 5.696 5.008 5.513 5.361 5.696 ns
12mA GCLK
tco 3.672 3.668 5.228 5.673 6.229 6.049 6.431 5.673 6.229 6.049 6.431 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.559 3.576 5.096 5.515 6.079 5.927 6.262 5.515 6.079 5.927 6.262 ns
2mA GCLK
tco 4.069 3.978 5.683 6.384 6.795 6.615 6.997 6.384 6.795 6.615 6.997 ns
PLL
GCLK tco 3.347 3.364 4.777 5.158 5.687 5.535 5.870 5.158 5.687 5.535 5.870 ns
4mA GCLK
tco 3.786 3.766 5.364 5.871 6.403 6.223 6.605 5.871 6.403 6.223 6.605 ns
PLL
GCLK tco 3.322 3.339 4.710 5.098 5.620 5.468 5.803 5.098 5.620 5.468 5.803 ns
6mA GCLK
tco 3.745 3.741 5.297 5.763 6.336 6.156 6.538 5.763 6.336 6.156 6.538 ns
PLL
1.5 V
GCLK tco 3.311 3.328 4.693 5.073 5.600 5.448 5.783 5.073 5.600 5.448 5.783 ns
8mA GCLK
tco 3.734 3.730 5.280 5.742 6.316 6.136 6.518 5.742 6.316 6.136 6.518 ns
PLL
GCLK tco 3.256 3.273 4.655 5.023 5.530 5.378 5.713 5.023 5.530 5.378 5.713 ns
10mA GCLK
tco 3.679 3.675 5.242 5.688 6.246 6.066 6.448 5.688 6.246 6.066 6.448 ns
PLL
GCLK tco 3.251 3.268 4.638 5.011 5.519 5.367 5.702 5.011 5.519 5.367 5.702 ns
12mA GCLK
tco 3.674 3.670 5.225 5.676 6.235 6.055 6.437 5.676 6.235 6.055 6.437 ns
PLL
GCLK tco 3.475 3.492 5.022 5.450 6.023 5.871 6.206 5.450 6.023 5.871 6.206 ns
2mA GCLK
tco 3.959 3.894 5.609 6.283 6.739 6.559 6.941 6.283 6.739 6.559 6.941 ns
PLL
GCLK tco 3.352 3.369 4.796 5.189 5.737 5.585 5.920 5.189 5.737 5.585 5.920 ns
4mA GCLK
tco 3.786 3.771 5.383 5.902 6.453 6.273 6.655 5.902 6.453 6.273 6.655 ns
PLL
1.2 V
GCLK tco 3.314 3.331 4.704 5.099 5.624 5.472 5.807 5.099 5.624 5.472 5.807 ns
6mA GCLK
tco 3.737 3.733 5.291 5.764 6.340 6.160 6.542 5.764 6.340 6.160 6.542 ns
PLL
GCLK tco 3.267 3.284 4.676 5.050 5.568 5.416 5.751 5.050 5.568 5.416 5.751 ns
8mA GCLK
tco 3.690 3.686 5.263 5.715 6.284 6.104 6.486 5.715 6.284 6.104 6.486 ns
PLL
GCLK tco 3.267 3.284 4.633 4.998 5.499 5.347 5.682 4.998 5.499 5.347 5.682 ns
8mA GCLK
tco 3.690 3.686 5.220 5.663 6.215 6.035 6.417 5.663 6.215 6.035 6.417 ns
PLL
GCLK tco 3.264 3.281 4.630 4.994 5.495 5.343 5.678 4.994 5.495 5.343 5.678 ns
SSTL-2
10mA GCLK
CLASS I tco 3.687 3.683 5.217 5.659 6.211 6.031 6.413 5.659 6.211 6.031 6.413 ns
PLL
GCLK tco 3.262 3.279 4.630 4.995 5.496 5.344 5.679 4.995 5.496 5.344 5.679 ns
12mA GCLK
tco 3.685 3.681 5.217 5.660 6.212 6.032 6.414 5.660 6.212 6.032 6.414 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.253 3.270 4.615 4.980 5.481 5.329 5.664 4.980 5.481 5.329 5.664 ns
SSTL-2
16mA GCLK
CLASS II tco 3.676 3.672 5.202 5.645 6.197 6.017 6.399 5.645 6.197 6.017 6.399 ns
PLL
GCLK tco 3.274 3.291 4.645 5.012 5.515 5.363 5.698 5.012 5.515 5.363 5.698 ns
4mA GCLK
tco 3.697 3.693 5.232 5.677 6.231 6.051 6.433 5.677 6.231 6.051 6.433 ns
PLL
GCLK tco 3.270 3.287 4.643 5.010 5.513 5.361 5.696 5.010 5.513 5.361 5.696 ns
6mA GCLK
tco 3.693 3.689 5.230 5.675 6.229 6.049 6.431 5.675 6.229 6.049 6.431 ns
PLL
GCLK tco 3.259 3.276 4.633 5.000 5.504 5.352 5.687 5.000 5.504 5.352 5.687 ns
SSTL-18
8mA GCLK
CLASS I tco 3.682 3.678 5.220 5.665 6.220 6.040 6.422 5.665 6.220 6.040 6.422 ns
PLL
GCLK tco 3.248 3.265 4.620 4.987 5.491 5.339 5.674 4.987 5.491 5.339 5.674 ns
10mA GCLK
tco 3.671 3.667 5.207 5.652 6.207 6.027 6.409 5.652 6.207 6.027 6.409 ns
PLL
GCLK tco 3.248 3.265 4.620 4.987 5.491 5.339 5.674 4.987 5.491 5.339 5.674 ns
12mA GCLK
tco 3.671 3.667 5.207 5.652 6.207 6.027 6.409 5.652 6.207 6.027 6.409 ns
PLL
GCLK tco 3.254 3.271 4.619 4.984 5.486 5.334 5.669 4.984 5.486 5.334 5.669 ns
8mA GCLK
tco 3.677 3.673 5.206 5.649 6.202 6.022 6.404 5.649 6.202 6.022 6.404 ns
SSTL-18 PLL
CLASS II GCLK tco 3.257 3.274 4.627 4.994 5.498 5.346 5.681 4.994 5.498 5.346 5.681 ns
16mA GCLK
tco 3.680 3.676 5.214 5.659 6.214 6.034 6.416 5.659 6.214 6.034 6.416 ns
PLL
GCLK tco 3.278 3.295 4.654 5.023 5.528 5.376 5.711 5.023 5.528 5.376 5.711 ns
4mA GCLK
tco 3.701 3.697 5.241 5.688 6.244 6.064 6.446 5.688 6.244 6.064 6.446 ns
PLL
GCLK tco 3.264 3.281 4.644 5.013 5.519 5.367 5.702 5.013 5.519 5.367 5.702 ns
6mA GCLK
tco 3.687 3.683 5.231 5.678 6.235 6.055 6.437 5.678 6.235 6.055 6.437 ns
PLL
GCLK tco 3.253 3.270 4.630 4.999 5.505 5.353 5.688 4.999 5.505 5.353 5.688 ns
SSTL-15
8mA GCLK
CLASS I tco 3.676 3.672 5.217 5.664 6.221 6.041 6.423 5.664 6.221 6.041 6.423 ns
PLL
GCLK tco 3.252 3.269 4.633 5.003 5.509 5.357 5.692 5.003 5.509 5.357 5.692 ns
10mA GCLK
tco 3.675 3.671 5.220 5.668 6.225 6.045 6.427 5.668 6.225 6.045 6.427 ns
PLL
GCLK tco 3.249 3.266 4.628 4.997 5.503 5.351 5.686 4.997 5.503 5.351 5.686 ns
12mA GCLK
tco 3.672 3.668 5.215 5.662 6.219 6.039 6.421 5.662 6.219 6.039 6.421 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.251 3.268 4.617 4.983 5.486 5.334 5.669 4.983 5.486 5.334 5.669 ns
8mA GCLK
tco 3.674 3.670 5.204 5.648 6.202 6.022 6.404 5.648 6.202 6.022 6.404 ns
SSTL-15 PLL
CLASS II GCLK tco 3.254 3.271 4.624 4.992 5.497 5.345 5.680 4.992 5.497 5.345 5.680 ns
16mA GCLK
tco 3.677 3.673 5.211 5.657 6.213 6.033 6.415 5.657 6.213 6.033 6.415 ns
PLL
GCLK tco 3.261 3.278 4.619 4.983 5.484 5.332 5.667 4.983 5.484 5.332 5.667 ns
4mA GCLK
tco 3.684 3.680 5.206 5.648 6.200 6.020 6.402 5.648 6.200 6.020 6.402 ns
PLL
GCLK tco 3.254 3.271 4.617 4.982 5.483 5.331 5.666 4.982 5.483 5.331 5.666 ns
6mA GCLK
tco 3.677 3.673 5.204 5.647 6.199 6.019 6.401 5.647 6.199 6.019 6.401 ns
PLL
1.8-V GCLK tco 3.246 3.263 4.609 4.974 5.476 5.324 5.659 4.974 5.476 5.324 5.659 ns
HSTL 8mA GCLK
CLASS I tco 3.669 3.665 5.196 5.639 6.192 6.012 6.394 5.639 6.192 6.012 6.394 ns
PLL
GCLK tco 3.249 3.266 4.612 4.978 5.480 5.328 5.663 4.978 5.480 5.328 5.663 ns
10mA GCLK
tco 3.672 3.668 5.199 5.643 6.196 6.016 6.398 5.643 6.196 6.016 6.398 ns
PLL
GCLK tco 3.246 3.263 4.615 4.981 5.484 5.332 5.667 4.981 5.484 5.332 5.667 ns
12mA GCLK
tco 3.669 3.665 5.202 5.646 6.200 6.020 6.402 5.646 6.200 6.020 6.402 ns
PLL
1.8-V GCLK tco 3.254 3.271 4.614 4.979 5.480 5.328 5.663 4.979 5.480 5.328 5.663 ns
HSTL 16mA GCLK
CLASS II tco 3.677 3.673 5.201 5.644 6.196 6.016 6.398 5.644 6.196 6.016 6.398 ns
PLL
GCLK tco 3.266 3.283 4.627 4.993 5.495 5.343 5.678 4.993 5.495 5.343 5.678 ns
4mA GCLK
tco 3.689 3.685 5.214 5.658 6.211 6.031 6.413 5.658 6.211 6.031 6.413 ns
PLL
GCLK tco 3.262 3.279 4.628 4.995 5.498 5.346 5.681 4.995 5.498 5.346 5.681 ns
6mA GCLK
tco 3.685 3.681 5.215 5.660 6.214 6.034 6.416 5.660 6.214 6.034 6.416 ns
PLL
1.5-V GCLK tco 3.258 3.275 4.624 4.990 5.493 5.341 5.676 4.990 5.493 5.341 5.676 ns
HSTL 8mA GCLK
CLASS I tco 3.681 3.677 5.211 5.655 6.209 6.029 6.411 5.655 6.209 6.029 6.411 ns
PLL
GCLK tco 3.251 3.268 4.617 4.983 5.486 5.334 5.669 4.983 5.486 5.334 5.669 ns
10mA GCLK
tco 3.674 3.670 5.204 5.648 6.202 6.022 6.404 5.648 6.202 6.022 6.404 ns
PLL
GCLK tco 3.252 3.269 4.624 4.991 5.496 5.344 5.679 4.991 5.496 5.344 5.679 ns
12mA GCLK
tco 3.675 3.671 5.211 5.656 6.212 6.032 6.414 5.656 6.212 6.032 6.414 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
1.5-V GCLK tco 3.250 3.267 4.605 4.969 5.470 5.318 5.653 4.969 5.470 5.318 5.653 ns
HSTL 16mA GCLK
CLASS II tco 3.673 3.669 5.192 5.634 6.186 6.006 6.388 5.634 6.186 6.006 6.388 ns
PLL
GCLK tco 3.269 3.286 4.641 5.010 5.516 5.364 5.699 5.010 5.516 5.364 5.699 ns
4mA GCLK
tco 3.692 3.688 5.228 5.675 6.232 6.052 6.434 5.675 6.232 6.052 6.434 ns
PLL
GCLK tco 3.261 3.278 4.632 5.001 5.507 5.355 5.690 5.001 5.507 5.355 5.690 ns
6mA GCLK
tco 3.684 3.680 5.219 5.666 6.223 6.043 6.425 5.666 6.223 6.043 6.425 ns
PLL
1.2-V GCLK tco 3.262 3.279 4.640 5.010 5.516 5.364 5.699 5.010 5.516 5.364 5.699 ns
HSTL 8mA GCLK
CLASS I tco 3.685 3.681 5.227 5.675 6.232 6.052 6.434 5.675 6.232 6.052 6.434 ns
PLL
GCLK tco 3.251 3.268 4.627 4.996 5.502 5.350 5.685 4.996 5.502 5.350 5.685 ns
10mA GCLK
tco 3.674 3.670 5.214 5.661 6.218 6.038 6.420 5.661 6.218 6.038 6.420 ns
PLL
GCLK tco 3.251 3.268 4.627 4.996 5.503 5.351 5.686 4.996 5.503 5.351 5.686 ns
12mA GCLK
tco 3.674 3.670 5.214 5.661 6.219 6.039 6.421 5.661 6.219 6.039 6.421 ns
PLL
1.2-V GCLK tco 3.272 3.289 4.643 5.011 5.516 5.364 5.699 5.011 5.516 5.364 5.699 ns
HSTL 16mA GCLK
CLASS II tco 3.695 3.691 5.230 5.676 6.233 6.052 6.434 5.676 6.233 6.052 6.434 ns
PLL
GCLK tco 3.375 3.392 4.688 5.045 5.541 5.389 5.724 5.045 5.541 5.389 5.724 ns
3.0-V PCI — GCLK
tco 3.798 3.794 5.275 5.710 6.264 6.077 6.459 5.710 6.264 6.077 6.459 ns
PLL
GCLK tco 3.375 3.392 4.688 5.045 5.541 5.389 5.724 5.045 5.541 5.389 5.724 ns
3.0-V
— GCLK
PCI-X tco 3.798 3.794 5.275 5.710 6.264 6.077 6.459 5.710 6.264 6.077 6.459 ns
PLL
Table 1–74 lists the EP3SL150 row pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.214 3.466 4.819 5.204 5.693 5.566 5.831 5.325 5.817 5.689 5.913 ns
4mA GCLK
tco 1.420 1.606 1.985 2.065 2.256 2.277 2.285 2.167 2.360 2.380 2.277 ns
PLL
3.3-V GCLK tco 3.121 3.361 4.689 5.066 5.548 5.421 5.686 5.184 5.668 5.540 5.764 ns
LVTTL 8mA GCLK
tco 1.354 1.535 1.875 1.954 2.142 2.163 2.171 2.054 2.245 2.265 2.162 ns
PLL
GCLK tco 3.042 3.271 4.570 4.943 5.420 5.293 5.558 5.057 5.536 5.408 5.632 ns
12mA GCLK
tco 1.275 1.446 1.769 1.854 2.046 2.067 2.075 1.955 2.145 2.165 2.062 ns
PLL
GCLK tco 3.224 3.470 4.827 5.209 5.697 5.570 5.835 5.331 5.821 5.693 5.917 ns
4mA GCLK
3.3-V tco 1.422 1.613 1.989 2.071 2.265 2.286 2.294 2.175 2.372 2.392 2.289 ns
PLL
LVCMOS
GCLK tco 3.046 3.275 4.576 4.949 5.427 5.301 5.564 5.063 5.543 5.417 5.639 ns
8mA GCLK
tco 1.279 1.450 1.780 1.869 2.056 2.077 2.085 1.967 2.154 2.174 2.071 ns
PLL
GCLK tco 3.168 3.412 4.771 5.157 5.649 5.522 5.787 5.282 5.775 5.647 5.871 ns
4mA GCLK
tco 1.381 1.567 1.952 2.034 2.222 2.243 2.251 2.135 2.326 2.346 2.243 ns
PLL
3.0-V GCLK tco 3.047 3.285 4.618 4.998 5.485 5.358 5.623 5.120 5.611 5.482 5.706 ns
LVTTL 8mA GCLK
tco 1.280 1.455 1.815 1.892 2.077 2.098 2.106 1.993 2.181 2.200 2.097 ns
PLL
GCLK tco 3.010 3.242 4.536 4.915 5.397 5.270 5.535 5.034 5.518 5.389 5.613 ns
12mA GCLK
tco 1.243 1.417 1.755 1.827 2.007 2.028 2.036 1.925 2.107 2.127 2.024 ns
PLL
GCLK tco 3.082 3.331 4.665 5.050 5.539 5.412 5.677 5.174 5.664 5.535 5.759 ns
4mA GCLK
3.0-V tco 1.302 1.479 1.850 1.927 2.113 2.134 2.142 2.026 2.217 2.236 2.133 ns
PLL
LVCMOS
GCLK tco 2.997 3.226 4.502 4.876 5.358 5.231 5.496 4.994 5.478 5.349 5.573 ns
8mA GCLK
tco 1.230 1.401 1.727 1.798 1.979 2.000 2.008 1.895 2.079 2.098 1.995 ns
PLL
GCLK tco 3.194 3.448 4.903 5.311 5.821 5.694 5.959 5.442 5.954 5.825 6.049 ns
4mA GCLK
tco 1.407 1.604 2.060 2.160 2.367 2.388 2.396 2.267 2.478 2.498 2.395 ns
PLL
GCLK tco 3.089 3.350 4.748 5.148 5.651 5.524 5.789 5.275 5.780 5.651 5.875 ns
2.5 V
8mA GCLK
tco 1.322 1.501 1.936 2.025 2.225 2.246 2.254 2.130 2.334 2.353 2.250 ns
PLL
GCLK tco 3.038 3.282 4.637 5.029 5.525 5.398 5.663 5.152 5.650 5.521 5.745 ns
12mA GCLK
tco 1.265 1.457 1.852 1.939 2.134 2.155 2.163 2.041 2.239 2.259 2.156 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.431 3.699 5.291 5.740 6.296 6.169 6.434 5.882 6.438 6.310 6.534 ns
2mA GCLK
tco 1.655 1.850 2.491 2.527 2.770 2.796 2.799 2.776 2.888 2.913 2.805 ns
PLL
GCLK tco 3.206 3.497 4.964 5.371 5.891 5.764 6.029 5.517 6.032 5.903 6.127 ns
4mA GCLK
1.8 V tco 1.430 1.648 2.164 2.201 2.410 2.436 2.439 2.411 2.527 2.551 2.443 ns
PLL
GCLK tco 3.141 3.395 4.811 5.221 5.732 5.605 5.870 5.349 5.859 5.731 5.955 ns
6mA GCLK
tco 1.365 1.546 2.011 2.096 2.310 2.336 2.339 2.243 2.421 2.446 2.338 ns
PLL
GCLK tco 3.081 3.321 4.734 5.127 5.635 5.508 5.773 5.254 5.765 5.637 5.861 ns
8mA GCLK
tco 1.327 1.508 1.937 2.043 2.244 2.270 2.273 2.148 2.349 2.374 2.266 ns
PLL
GCLK tco 3.342 3.617 5.201 5.653 6.224 6.097 6.362 5.789 6.362 6.234 6.458 ns
2mA GCLK
tco 1.566 1.768 2.401 2.454 2.707 2.733 2.736 2.683 2.823 2.848 2.740 ns
PLL
GCLK tco 3.100 3.359 4.796 5.216 5.733 5.606 5.871 5.343 5.858 5.730 5.954 ns
4mA GCLK
1.5 V tco 1.343 1.525 1.996 2.096 2.313 2.339 2.342 2.237 2.422 2.447 2.339 ns
PLL
GCLK tco 3.073 3.312 4.723 5.120 5.627 5.502 5.765 5.245 5.753 5.625 5.849 ns
6mA GCLK
tco 1.316 1.499 1.923 2.035 2.244 2.270 2.273 2.139 2.349 2.374 2.266 ns
PLL
GCLK tco 3.064 3.303 4.701 5.102 5.608 5.483 5.746 5.227 5.731 5.606 5.827 ns
8mA GCLK
tco 1.297 1.488 1.905 2.010 2.225 2.251 2.254 2.121 2.330 2.355 2.247 ns
PLL
GCLK tco 3.285 3.542 5.111 5.567 6.149 6.022 6.287 5.701 6.278 6.150 6.374 ns
2mA GCLK
tco 1.509 1.693 2.311 2.386 2.646 2.672 2.675 2.595 2.754 2.779 2.671 ns
1.2 V PLL
GCLK tco 3.105 3.353 4.818 5.244 5.774 5.647 5.912 5.368 5.900 5.772 5.996 ns
4mA GCLK
tco 1.348 1.529 2.018 2.124 2.361 2.387 2.390 2.262 2.466 2.491 2.383 ns
PLL
GCLK tco 3.036 3.270 4.620 5.004 5.495 5.369 5.631 5.123 5.614 5.488 5.708 ns
8mA GCLK
SSTL-2 tco 1.269 1.445 1.845 1.930 2.124 2.145 2.153 2.028 2.225 2.245 2.142 ns
PLL
CLASS I
GCLK tco 3.031 3.266 4.617 5.002 5.493 5.367 5.628 5.122 5.613 5.487 5.706 ns
12mA GCLK
tco 1.264 1.441 1.842 1.928 2.122 2.143 2.151 2.027 2.224 2.244 2.141 ns
PLL
GCLK tco 3.022 3.255 4.602 4.986 5.476 5.350 5.611 5.105 5.595 5.469 5.688 ns
SSTL-2
16mA GCLK
CLASS II tco 1.255 1.430 1.827 1.912 2.105 2.126 2.134 2.010 2.206 2.226 2.123 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.042 3.277 4.629 5.031 5.512 5.397 5.647 5.135 5.631 5.516 5.724 ns
4mA GCLK
tco 1.276 1.452 1.856 1.947 2.139 2.165 2.168 2.041 2.240 2.265 2.157 ns
PLL
GCLK tco 3.027 3.263 4.626 5.030 5.511 5.396 5.646 5.133 5.629 5.514 5.722 ns
6mA GCLK
tco 1.271 1.447 1.854 1.946 2.138 2.164 2.167 2.039 2.238 2.263 2.155 ns
PLL
GCLK tco 3.016 3.251 4.609 5.020 5.501 5.386 5.636 5.116 5.620 5.505 5.713 ns
SSTL-18
8mA GCLK
CLASS I tco 1.260 1.436 1.844 1.936 2.128 2.154 2.157 2.030 2.229 2.254 2.146 ns
PLL
GCLK tco 2.992 3.228 4.593 5.007 5.488 5.373 5.623 5.101 5.608 5.493 5.701 ns
10mA GCLK
tco 1.249 1.425 1.831 1.923 2.115 2.141 2.144 2.018 2.217 2.242 2.134 ns
PLL
GCLK tco 2.992 3.227 4.592 5.007 5.488 5.373 5.623 5.100 5.608 5.493 5.701 ns
12mA GCLK
tco 1.249 1.424 1.831 1.923 2.115 2.141 2.144 2.017 2.217 2.242 2.134 ns
PLL
GCLK tco 3.002 3.236 4.590 5.004 5.483 5.368 5.618 5.095 5.602 5.487 5.695 ns
8mA GCLK
SSTL-18 tco 1.257 1.431 1.830 1.920 2.110 2.136 2.139 2.013 2.211 2.236 2.128 ns
PLL
CLASS II
GCLK tco 2.996 3.231 4.589 5.012 5.493 5.378 5.628 5.097 5.613 5.498 5.706 ns
16mA GCLK
tco 1.258 1.434 1.836 1.928 2.120 2.146 2.149 2.022 2.222 2.247 2.139 ns
PLL
GCLK tco 3.038 3.273 4.640 5.042 5.526 5.410 5.664 5.148 5.644 5.528 5.740 ns
4mA GCLK
tco 1.279 1.455 1.865 1.958 2.152 2.178 2.181 2.051 2.252 2.277 2.169 ns
PLL
SSTL-15 GCLK tco 3.015 3.251 4.622 5.032 5.515 5.400 5.650 5.132 5.634 5.519 5.727 ns
CLASS I 6mA GCLK
tco 1.265 1.441 1.854 1.948 2.142 2.168 2.171 2.042 2.243 2.268 2.160 ns
PLL
GCLK tco 2.998 3.234 4.605 5.019 5.502 5.387 5.637 5.114 5.621 5.506 5.714 ns
8mA GCLK
tco 1.254 1.429 1.841 1.935 2.129 2.155 2.158 2.029 2.230 2.255 2.147 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.017 3.249 4.596 5.002 5.481 5.366 5.616 5.099 5.599 5.484 5.692 ns
4mA GCLK
tco 1.264 1.437 1.829 1.918 2.108 2.134 2.137 2.012 2.208 2.233 2.125 ns
PLL
GCLK tco 3.005 3.237 4.587 5.001 5.480 5.365 5.615 5.091 5.599 5.484 5.692 ns
6mA GCLK
tco 1.257 1.431 1.827 1.917 2.107 2.133 2.136 2.011 2.208 2.233 2.125 ns
PLL
1.8-V
GCLK tco 2.992 3.225 4.578 4.994 5.473 5.358 5.608 5.083 5.592 5.477 5.685 ns
HSTL
CLASS I 8mA GCLK
tco 1.248 1.423 1.820 1.910 2.100 2.126 2.129 2.004 2.201 2.226 2.118 ns
PLL
GCLK tco 2.994 3.227 4.581 4.997 5.477 5.362 5.612 5.086 5.595 5.480 5.688 ns
10mA GCLK
tco 1.251 1.425 1.823 1.913 2.104 2.130 2.133 2.007 2.204 2.229 2.121 ns
PLL
GCLK tco 2.987 3.222 4.579 5.000 5.480 5.365 5.615 5.086 5.600 5.485 5.693 ns
12mA GCLK
tco 1.247 1.422 1.825 1.916 2.107 2.133 2.136 2.010 2.209 2.234 2.126 ns
PLL
1.8-V GCLK tco 2.993 3.226 4.574 4.997 5.476 5.361 5.611 5.077 5.594 5.479 5.687 ns
HSTL 16mA GCLK
CLASS II tco 1.255 1.430 1.823 1.913 2.103 2.129 2.132 2.006 2.203 2.228 2.120 ns
PLL
GCLK tco 3.024 3.256 4.607 5.012 5.492 5.377 5.627 5.111 5.610 5.495 5.703 ns
4mA GCLK
tco 1.270 1.443 1.838 1.928 2.119 2.145 2.148 2.021 2.219 2.244 2.136 ns
PLL
1.5-V
GCLK tco 3.012 3.246 4.603 5.014 5.494 5.379 5.629 5.108 5.613 5.498 5.706 ns
HSTL
CLASS I 6mA GCLK
tco 1.264 1.438 1.839 1.930 2.121 2.147 2.150 2.023 2.222 2.247 2.139 ns
PLL
GCLK tco 3.008 3.241 4.597 5.009 5.489 5.374 5.624 5.102 5.607 5.492 5.700 ns
8mA GCLK
tco 1.260 1.434 1.834 1.925 2.116 2.142 2.145 2.018 2.216 2.241 2.133 ns
PLL
GCLK tco 3.023 3.255 4.618 5.028 5.511 5.396 5.646 5.125 5.629 5.514 5.722 ns
4mA GCLK
tco 1.272 1.445 1.851 1.944 2.138 2.164 2.167 2.037 2.238 2.263 2.155 ns
PLL
1.2-V
GCLK tco 3.011 3.243 4.607 5.019 5.502 5.387 5.637 5.114 5.620 5.505 5.713 ns
HSTL
CLASS I 6mA GCLK
tco 1.263 1.437 1.842 1.935 2.129 2.155 2.158 2.028 2.229 2.254 2.146 ns
PLL
GCLK tco 3.007 3.241 4.611 5.027 5.511 5.396 5.646 5.120 5.630 5.515 5.723 ns
8mA GCLK
tco 1.262 1.437 1.849 1.943 2.138 2.164 2.167 2.037 2.239 2.264 2.156 ns
PLL
GCLK tco 3.142 3.376 4.672 5.050 5.535 5.409 5.670 5.171 5.656 5.530 5.749 ns
3.0-V PCI — GCLK
tco 1.375 1.551 1.897 1.976 2.164 2.185 2.193 2.076 2.267 2.287 2.184 ns
PLL
GCLK tco 3.142 3.376 4.672 5.050 5.535 5.409 5.670 5.171 5.656 5.530 5.749 ns
3.0-V
— GCLK
PCI-X tco 1.375 1.551 1.897 1.976 2.164 2.185 2.193 2.076 2.267 2.287 2.184 ns
PLL
Table 1–75 through Table 1–75 list the maximum I/O timing parameters for EP3SL150
devices for differential I/O standards.
Table 1–75 lists the EP3SL150 column pins input timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.978 -1.006 -1.151 -1.135 -1.286 -1.233 -1.594 -1.098 -1.339 -1.193 -1.632 ns
GCLK
th 1.104 1.152 1.371 1.387 1.564 1.502 1.860 1.361 1.634 1.471 1.898 ns
LVDS
GCLK tsu 0.967 1.001 1.885 2.230 2.404 2.293 2.197 2.284 2.556 2.353 2.249 ns
PLL th -0.707 -0.719 -1.450 -1.735 -1.855 -1.769 -1.669 -1.780 -1.984 -1.818 -1.718 ns
tsu -0.978 -1.006 -1.151 -1.135 -1.286 -1.233 -1.594 -1.098 -1.339 -1.193 -1.632 ns
GCLK
th 1.104 1.152 1.371 1.387 1.564 1.502 1.860 1.361 1.634 1.471 1.898 ns
MINI-LVDS
GCLK tsu 0.967 1.001 1.885 2.230 2.404 2.293 2.197 2.284 2.556 2.353 2.249 ns
PLL th -0.707 -0.719 -1.450 -1.735 -1.855 -1.769 -1.669 -1.780 -1.984 -1.818 -1.718 ns
tsu -0.978 -1.006 -1.151 -1.135 -1.286 -1.233 -1.594 -1.098 -1.339 -1.193 -1.632 ns
GCLK
th 1.104 1.152 1.371 1.387 1.564 1.502 1.860 1.361 1.634 1.471 1.898 ns
RSDS
GCLK tsu 0.967 1.001 1.885 2.230 2.404 2.293 2.197 2.284 2.556 2.353 2.249 ns
PLL th -0.707 -0.719 -1.450 -1.735 -1.855 -1.769 -1.669 -1.780 -1.984 -1.818 -1.718 ns
tsu -0.794 -0.829 -1.228 -1.334 -1.445 -1.387 -1.743 -1.333 -1.443 -1.391 -1.786 ns
GCLK
DIFFERENTIAL th 0.913 0.967 1.416 1.546 1.677 1.610 1.964 1.554 1.684 1.621 2.007 ns
1.2-V HSTL
CLASS I GCLK tsu 1.151 1.178 1.808 2.031 2.245 2.139 2.048 2.049 2.266 2.155 2.095 ns
PLL th -0.898 -0.904 -1.405 -1.576 -1.742 -1.661 -1.565 -1.587 -1.753 -1.668 -1.609 ns
tsu -0.794 -0.829 -1.228 -1.334 -1.445 -1.387 -1.743 -1.333 -1.443 -1.391 -1.786 ns
DIFFERENTIAL GCLK
th 0.913 0.967 1.416 1.546 1.677 1.610 1.964 1.554 1.684 1.621 2.007 ns
1.2-V HSTL
CLASS II GCLK tsu 1.151 1.178 1.808 2.031 2.245 2.139 2.048 2.049 2.266 2.155 2.095 ns
PLL th -0.898 -0.904 -1.405 -1.576 -1.742 -1.661 -1.565 -1.587 -1.753 -1.668 -1.609 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
GCLK
DIFFERENTIAL th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V HSTL
CLASS I GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
DIFFERENTIAL GCLK
th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V HSTL
CLASS II GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
DIFFERENTIAL GCLK
th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V HSTL
CLASS I GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
DIFFERENTIAL GCLK
th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V HSTL
CLASS II GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
GCLK
DIFFERENTIAL th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V SSTL
CLASS I GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.802 -0.841 -1.238 -1.345 -1.461 -1.403 -1.759 -1.344 -1.458 -1.406 -1.801 ns
DIFFERENTIAL GCLK
th 0.921 0.979 1.426 1.557 1.693 1.626 1.980 1.565 1.699 1.636 2.022 ns
1.5-V SSTL
CLASS II GCLK tsu 1.143 1.166 1.798 2.020 2.229 2.123 2.032 2.038 2.251 2.140 2.080 ns
PLL th -0.890 -0.892 -1.395 -1.565 -1.726 -1.645 -1.549 -1.576 -1.738 -1.653 -1.594 ns
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
DIFFERENTIAL GCLK
th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V SSTL
CLASS I GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
tsu -0.814 -0.852 -1.247 -1.356 -1.480 -1.422 -1.778 -1.355 -1.476 -1.424 -1.819 ns
GCLK
DIFFERENTIAL th 0.933 0.990 1.436 1.568 1.712 1.645 1.999 1.576 1.717 1.654 2.040 ns
1.8-V SSTL
CLASS II GCLK tsu 1.131 1.155 1.789 2.009 2.210 2.104 2.013 2.027 2.233 2.122 2.062 ns
PLL th -0.878 -0.881 -1.385 -1.554 -1.707 -1.626 -1.530 -1.565 -1.720 -1.635 -1.576 ns
tsu -0.821 -0.858 -1.259 -1.361 -1.480 -1.424 -1.777 -1.359 -1.471 -1.422 -1.815 ns
DIFFERENTIAL GCLK
th 0.940 0.996 1.449 1.576 1.715 1.648 2.003 1.583 1.717 1.653 2.041 ns
2.5-V SSTL
CLASS I GCLK tsu 1.124 1.149 1.777 2.004 2.210 2.102 2.014 2.023 2.238 2.124 2.066 ns
PLL th -0.871 -0.875 -1.372 -1.546 -1.704 -1.623 -1.526 -1.558 -1.720 -1.636 -1.575 ns
tsu -0.821 -0.858 -1.259 -1.361 -1.480 -1.424 -1.777 -1.359 -1.471 -1.422 -1.815 ns
GCLK
DIFFERENTIAL th 0.940 0.996 1.449 1.576 1.715 1.648 2.003 1.583 1.717 1.653 2.041 ns
2.5-V SSTL
CLASS II GCLK tsu 1.124 1.149 1.777 2.004 2.210 2.102 2.014 2.023 2.238 2.124 2.066 ns
PLL th -0.871 -0.875 -1.372 -1.546 -1.704 -1.623 -1.526 -1.558 -1.720 -1.636 -1.575 ns
Table 1–76 lists the EP3SL150 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL = VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.919 -0.950 -1.021 -0.981 -1.115 -1.070 -1.404 -0.940 -1.066 -1.023 -1.442 ns
GCLK
th 1.043 1.092 1.245 1.237 1.395 1.339 1.672 1.207 1.358 1.303 1.711 ns
LVDS
GCLK tsu 0.959 0.987 1.949 2.324 2.527 2.403 2.334 2.384 2.597 2.470 2.387 ns
PLL th -0.698 -0.708 -1.513 -1.828 -1.977 -1.881 -1.804 -1.875 -2.032 -1.933 -1.853 ns
tsu -0.919 -0.950 -1.021 -0.981 -1.115 -1.070 -1.404 -0.940 -1.066 -1.023 -1.442 ns
GCLK
th 1.043 1.092 1.245 1.237 1.395 1.339 1.672 1.207 1.358 1.303 1.711 ns
MINI-LVDS
GCLK tsu 0.959 0.987 1.949 2.324 2.527 2.403 2.334 2.384 2.597 2.470 2.387 ns
PLL th -0.698 -0.708 -1.513 -1.828 -1.977 -1.881 -1.804 -1.875 -2.032 -1.933 -1.853 ns
tsu -0.919 -0.950 -1.021 -0.981 -1.115 -1.070 -1.404 -0.940 -1.066 -1.023 -1.442 ns
GCLK
th 1.043 1.092 1.245 1.237 1.395 1.339 1.672 1.207 1.358 1.303 1.711 ns
RSDS
GCLK tsu 0.959 0.987 1.949 2.324 2.527 2.403 2.334 2.384 2.597 2.470 2.387 ns
PLL th -0.698 -0.708 -1.513 -1.828 -1.977 -1.881 -1.804 -1.875 -2.032 -1.933 -1.853 ns
tsu -0.724 -0.765 -1.113 -1.210 -1.304 -1.254 -1.583 -1.211 -1.303 -1.255 -1.626 ns
GCLK
DIFFERENTIAL th 0.841 0.898 1.302 1.420 1.535 1.474 1.802 1.429 1.543 1.483 1.846 ns
1.2-V
HSTL CLASS I GCLK tsu 1.154 1.172 1.862 2.100 2.338 2.219 2.155 2.117 2.360 2.238 2.203 ns
PLL th -0.900 -0.902 -1.458 -1.649 -1.837 -1.746 -1.674 -1.657 -1.847 -1.753 -1.718 ns
tsu -0.724 -0.765 -1.113 -1.210 -1.304 -1.254 -1.583 -1.211 -1.303 -1.255 -1.626 ns
GCLK
DIFFERENTIAL th 0.841 0.898 1.302 1.420 1.535 1.474 1.802 1.429 1.543 1.483 1.846 ns
1.2-V
HSTL CLASS II GCLK tsu 1.154 1.172 1.862 2.100 2.338 2.219 2.155 2.117 2.360 2.238 2.203 ns
PLL th -0.900 -0.902 -1.458 -1.649 -1.837 -1.746 -1.674 -1.657 -1.847 -1.753 -1.718 ns
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
HSTL CLASS I GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
HSTL CLASS II GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
HSTL CLASS I GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
HSTL CLASS II GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
SSTL CLASS I GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
tsu -0.733 -0.777 -1.122 -1.220 -1.320 -1.270 -1.599 -1.220 -1.319 -1.271 -1.642 ns
GCLK
DIFFERENTIAL th 0.850 0.910 1.311 1.430 1.551 1.490 1.818 1.438 1.559 1.499 1.862 ns
1.5-V
SSTL CLASS II GCLK tsu 1.145 1.160 1.853 2.090 2.322 2.203 2.139 2.108 2.344 2.222 2.187 ns
PLL th -0.891 -0.890 -1.449 -1.639 -1.821 -1.730 -1.658 -1.648 -1.831 -1.737 -1.702 ns
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
SSTL CLASS I GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
tsu -0.747 -0.789 -1.131 -1.230 -1.338 -1.288 -1.617 -1.231 -1.336 -1.288 -1.659 ns
GCLK
DIFFERENTIAL th 0.864 0.922 1.321 1.440 1.569 1.508 1.836 1.449 1.576 1.516 1.879 ns
1.8-V
SSTL CLASS II GCLK tsu 1.131 1.148 1.840 2.080 2.304 2.185 2.121 2.097 2.327 2.205 2.170 ns
PLL th -0.877 -0.878 -1.437 -1.629 -1.803 -1.712 -1.640 -1.637 -1.814 -1.720 -1.685 ns
tsu -0.756 -0.798 -1.145 -1.241 -1.345 -1.296 -1.623 -1.238 -1.337 -1.291 -1.661 ns
GCLK
DIFFERENTIAL th 0.873 0.931 1.336 1.454 1.579 1.518 1.847 1.459 1.582 1.521 1.886 ns
2.5-V
SSTL CLASS I GCLK tsu 1.122 1.139 1.825 2.064 2.293 2.173 2.110 2.086 2.321 2.197 2.163 ns
PLL th -0.868 -0.869 -1.422 -1.611 -1.789 -1.698 -1.625 -1.623 -1.805 -1.711 -1.674 ns
tsu -0.756 -0.798 -1.145 -1.241 -1.345 -1.296 -1.623 -1.238 -1.337 -1.291 -1.661 ns
GCLK
DIFFERENTIAL th 0.873 0.931 1.336 1.454 1.579 1.518 1.847 1.459 1.582 1.521 1.886 ns
2.5-V
SSTL CLASS II GCLK tsu 1.122 1.139 1.825 2.064 2.293 2.173 2.110 2.086 2.321 2.197 2.163 ns
PLL th -0.868 -0.869 -1.422 -1.611 -1.789 -1.698 -1.625 -1.623 -1.805 -1.711 -1.674 ns
Table 1–77 lists the EP3SL150 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock
VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Units
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.100 3.330 4.687 5.079 5.586 5.447 5.731 5.200 5.706 5.570 5.803 ns
LVDS_E_1R — GCLK
tco 1.308 1.480 1.885 1.978 2.188 2.199 2.226 2.081 2.293 2.303 2.211 ns
PLL
GCLK tco 3.096 3.333 4.734 5.134 5.648 5.509 5.793 5.259 5.772 5.636 5.869 ns
LVDS_E_3R — GCLK
tco 1.304 1.483 1.932 2.033 2.250 2.261 2.288 2.140 2.359 2.369 2.277 ns
PLL
GCLK tco 3.100 3.330 4.687 5.079 5.586 5.447 5.731 5.200 5.706 5.570 5.803 ns
MINI-
— GCLK
LVDS_E_1R tco 1.308 1.480 1.885 1.978 2.188 2.199 2.226 2.081 2.293 2.303 2.211 ns
PLL
GCLK tco 3.096 3.333 4.734 5.134 5.648 5.509 5.793 5.259 5.772 5.636 5.869 ns
MINI-
— GCLK
LVDS_E_3R tco 1.304 1.483 1.932 2.033 2.250 2.261 2.288 2.140 2.359 2.369 2.277 ns
PLL
GCLK tco 3.100 3.330 4.687 5.079 5.586 5.447 5.731 5.200 5.706 5.570 5.803 ns
RSDS_E_1R — GCLK
tco 1.308 1.480 1.885 1.978 2.188 2.199 2.226 2.081 2.293 2.303 2.211 ns
PLL
GCLK tco 3.096 3.333 4.734 5.134 5.648 5.509 5.793 5.259 5.772 5.636 5.869 ns
RSDS_E_3R — GCLK
tco 1.304 1.483 1.932 2.033 2.250 2.261 2.288 2.140 2.359 2.369 2.277 ns
PLL
GCLK tco 3.127 3.363 4.758 5.157 5.670 5.531 5.815 5.280 5.792 5.656 5.889 ns
4mA GCLK
tco 1.335 1.513 1.956 2.056 2.272 2.283 2.310 2.161 2.379 2.389 2.297 ns
PLL
GCLK tco 3.117 3.353 4.748 5.146 5.660 5.521 5.805 5.269 5.782 5.646 5.879 ns
6mA GCLK
tco 1.325 1.503 1.946 2.045 2.262 2.273 2.300 2.150 2.369 2.379 2.287 ns
PLL
DIFFERENTIAL
GCLK tco 3.117 3.353 4.751 5.150 5.664 5.525 5.809 5.274 5.787 5.651 5.884 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 1.325 1.503 1.949 2.049 2.266 2.277 2.304 2.155 2.374 2.384 2.292 ns
PLL
GCLK tco 3.110 3.347 4.744 5.144 5.658 5.519 5.803 5.267 5.781 5.645 5.878 ns
10mA GCLK
tco 1.318 1.497 1.942 2.043 2.260 2.271 2.298 2.148 2.368 2.378 2.286 ns
PLL
GCLK tco 3.109 3.345 4.741 5.141 5.655 5.516 5.800 5.264 5.777 5.641 5.874 ns
12mA GCLK
tco 1.317 1.495 1.939 2.040 2.257 2.268 2.295 2.145 2.364 2.374 2.282 ns
PLL
DIFFERENTIAL GCLK tco 3.131 3.367 4.762 5.161 5.674 5.535 5.819 5.284 5.797 5.661 5.894 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 1.339 1.517 1.960 2.060 2.276 2.287 2.314 2.165 2.384 2.394 2.302 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial
VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.121 3.356 4.741 5.138 5.649 5.510 5.794 5.260 5.770 5.634 5.867 ns
4mA GCLK
tco 1.329 1.506 1.939 2.037 2.251 2.262 2.289 2.141 2.357 2.367 2.275 ns
PLL
GCLK tco 3.116 3.352 4.741 5.138 5.650 5.511 5.795 5.261 5.772 5.636 5.869 ns
6mA GCLK
tco 1.324 1.502 1.939 2.037 2.252 2.263 2.290 2.142 2.359 2.369 2.277 ns
PLL
DIFFERENTIAL
GCLK tco 3.114 3.350 4.740 5.137 5.648 5.509 5.793 5.260 5.771 5.635 5.868 ns
1.5-V HSTL
CLASS I 8mA GCLK
tco 1.322 1.500 1.938 2.036 2.250 2.261 2.288 2.141 2.358 2.368 2.276 ns
PLL
GCLK tco 3.106 3.341 4.730 5.127 5.639 5.500 5.784 5.250 5.761 5.625 5.858 ns
10mA GCLK
tco 1.314 1.491 1.928 2.026 2.241 2.252 2.279 2.131 2.348 2.358 2.266 ns
PLL
GCLK tco 3.107 3.343 4.736 5.134 5.647 5.508 5.792 5.258 5.770 5.634 5.867 ns
12mA GCLK
tco 1.315 1.493 1.934 2.033 2.249 2.260 2.287 2.139 2.357 2.367 2.275 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.340 4.719 5.115 5.625 5.486 5.770 5.237 5.746 5.610 5.843 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 1.314 1.490 1.917 2.014 2.227 2.238 2.265 2.118 2.333 2.343 2.251 ns
PLL
GCLK tco 3.118 3.353 4.737 5.133 5.643 5.504 5.788 5.256 5.765 5.629 5.862 ns
4mA GCLK
tco 1.326 1.503 1.935 2.032 2.245 2.256 2.283 2.137 2.352 2.362 2.270 ns
PLL
GCLK tco 3.114 3.350 4.738 5.135 5.647 5.508 5.792 5.258 5.769 5.633 5.866 ns
6mA GCLK
tco 1.322 1.500 1.936 2.034 2.249 2.260 2.287 2.139 2.356 2.366 2.274 ns
PLL
DIFFERENTIAL
1.8-V HSTL
GCLK tco 3.104 3.339 4.727 5.124 5.635 5.496 5.780 5.247 5.757 5.621 5.854 ns
CLASS I 8mA GCLK
tco 1.312 1.489 1.925 2.023 2.237 2.248 2.275 2.128 2.344 2.354 2.262 ns
PLL
GCLK tco 3.102 3.337 4.725 5.121 5.633 5.494 5.778 5.245 5.755 5.619 5.852 ns
10mA GCLK
tco 1.310 1.487 1.923 2.020 2.235 2.246 2.273 2.126 2.342 2.352 2.260 ns
PLL
GCLK tco 3.102 3.338 4.728 5.126 5.638 5.499 5.783 5.249 5.761 5.625 5.858 ns
12mA GCLK
tco 1.310 1.488 1.926 2.025 2.240 2.251 2.278 2.130 2.348 2.358 2.266 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.341 4.725 5.121 5.632 5.493 5.777 5.244 5.754 5.618 5.851 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 1.314 1.491 1.923 2.020 2.234 2.245 2.272 2.125 2.341 2.351 2.259 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial
VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.132 3.370 4.770 5.169 5.682 5.543 5.827 5.292 5.804 5.668 5.901 ns
4mA GCLK
tco 1.340 1.520 1.968 2.068 2.284 2.295 2.322 2.173 2.391 2.401 2.309 ns
PLL
GCLK tco 3.118 3.356 4.758 5.158 5.672 5.533 5.817 5.282 5.795 5.659 5.892 ns
6mA GCLK
tco 1.326 1.506 1.956 2.057 2.274 2.285 2.312 2.163 2.382 2.392 2.300 ns
PLL
DIFFERENTIAL
GCLK tco 3.106 3.343 4.741 5.140 5.654 5.515 5.799 5.264 5.777 5.641 5.874 ns
1.5-V SSTL
CLASS I 8mA GCLK
tco 1.314 1.493 1.939 2.039 2.256 2.267 2.294 2.145 2.364 2.374 2.282 ns
PLL
GCLK tco 3.106 3.343 4.744 5.144 5.658 5.519 5.803 5.268 5.782 5.646 5.879 ns
10mA GCLK
tco 1.314 1.493 1.942 2.043 2.260 2.271 2.298 2.149 2.369 2.379 2.287 ns
PLL
GCLK tco 3.102 3.339 4.737 5.136 5.651 5.512 5.796 5.261 5.774 5.638 5.871 ns
12mA GCLK
tco 1.310 1.489 1.935 2.035 2.253 2.264 2.291 2.142 2.361 2.371 2.279 ns
PLL
GCLK tco 3.106 3.341 4.730 5.127 5.639 5.500 5.784 5.250 5.761 5.625 5.858 ns
DIFFERENTIAL 8mA GCLK
1.5-V SSTL tco 1.314 1.491 1.928 2.026 2.241 2.252 2.279 2.131 2.348 2.358 2.266 ns
PLL
CLASS II GCLK tco 3.107 3.343 4.738 5.137 5.650 5.511 5.795 5.260 5.773 5.637 5.870 ns
16mA GCLK
tco 1.315 1.493 1.936 2.036 2.252 2.263 2.290 2.141 2.360 2.370 2.278 ns
PLL
GCLK tco 3.135 3.373 4.769 5.167 5.680 5.541 5.825 5.291 5.802 5.666 5.899 ns
4mA GCLK
tco 1.343 1.523 1.967 2.066 2.282 2.293 2.320 2.172 2.389 2.399 2.307 ns
PLL
GCLK tco 3.124 3.361 4.757 5.155 5.668 5.529 5.813 5.279 5.790 5.654 5.887 ns
6mA GCLK
tco 1.332 1.511 1.955 2.054 2.270 2.281 2.308 2.160 2.377 2.387 2.295 ns
PLL
DIFFERENTIAL
GCLK tco 3.119 3.357 4.757 5.156 5.669 5.530 5.814 5.280 5.792 5.656 5.889 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 1.327 1.507 1.955 2.055 2.271 2.282 2.309 2.161 2.379 2.389 2.297 ns
PLL
GCLK tco 3.105 3.342 4.739 5.137 5.650 5.511 5.795 5.261 5.774 5.638 5.871 ns
10mA GCLK
tco 1.313 1.492 1.937 2.036 2.252 2.263 2.290 2.142 2.361 2.371 2.279 ns
PLL
GCLK tco 3.103 3.340 4.737 5.135 5.648 5.509 5.793 5.259 5.771 5.635 5.868 ns
12mA GCLK
tco 1.311 1.490 1.935 2.034 2.250 2.261 2.288 2.140 2.358 2.368 2.276 ns
PLL
GCLK tco 3.107 3.342 4.729 5.125 5.636 5.497 5.781 5.248 5.758 5.622 5.855 ns
DIFFERENTIAL 8mA GCLK
tco 1.315 1.492 1.927 2.024 2.238 2.249 2.276 2.129 2.345 2.355 2.263 ns
1.8-V SSTL PLL
CLASS II GCLK tco 3.107 3.343 4.737 5.135 5.648 5.509 5.793 5.259 5.771 5.635 5.868 ns
16mA GCLK
tco 1.315 1.493 1.935 2.034 2.250 2.261 2.288 2.140 2.358 2.368 2.276 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial
VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.123 3.360 4.753 5.150 5.662 5.523 5.807 5.274 5.784 5.648 5.881 ns
8mA GCLK
tco 1.331 1.510 1.951 2.049 2.264 2.275 2.302 2.155 2.371 2.381 2.289 ns
PLL
DIFFERENTIAL
GCLK tco 3.123 3.360 4.753 5.150 5.662 5.523 5.807 5.274 5.784 5.648 5.881 ns
2.5-V SSTL
CLASS I 10mA GCLK
tco 1.331 1.510 1.951 2.049 2.264 2.275 2.302 2.155 2.371 2.381 2.289 ns
PLL
GCLK tco 3.113 3.350 4.743 5.140 5.652 5.513 5.797 5.264 5.775 5.639 5.872 ns
12mA GCLK
tco 1.321 1.500 1.941 2.039 2.254 2.265 2.292 2.145 2.362 2.372 2.280 ns
PLL
DIFFERENTIAL GCLK tco 3.106 3.342 4.729 5.125 5.636 5.497 5.781 5.248 5.758 5.622 5.855 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 1.314 1.492 1.927 2.024 2.238 2.249 2.276 2.129 2.345 2.355 2.263 ns
PLL
Table 1–78 lists the EP3SL150 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.711 2.894 4.033 4.387 4.843 4.717 4.978 4.486 4.943 4.816 5.035 ns
LVDS — GCLK
tco 0.934 1.059 1.248 1.300 1.459 1.480 1.491 1.379 1.542 1.561 1.459 ns
PLL
GCLK tco 3.106 3.342 4.709 5.107 5.611 5.475 5.730 5.229 5.736 5.599 5.802 ns
LVDS_E_1R — GCLK
tco 1.326 1.503 1.919 2.016 2.222 2.235 2.238 2.120 2.330 2.340 2.222 ns
PLL
GCLK tco 3.088 3.332 4.747 5.153 5.665 5.529 5.784 5.280 5.797 5.660 5.863 ns
LVDS_E_3R — GCLK
tco 1.308 1.493 1.957 2.062 2.276 2.289 2.292 2.171 2.391 2.401 2.283 ns
PLL
GCLK tco 2.711 2.894 4.033 4.387 4.843 4.717 4.978 4.486 4.943 4.816 5.035 ns
MINI-LVDS — GCLK
tco 0.934 1.059 1.248 1.300 1.459 1.480 1.491 1.379 1.542 1.561 1.459 ns
PLL
GCLK tco 3.106 3.342 4.709 5.107 5.611 5.475 5.730 5.229 5.736 5.599 5.802 ns
MINI-
— GCLK
LVDS_E_1R tco 1.326 1.503 1.919 2.016 2.222 2.235 2.238 2.120 2.330 2.340 2.222 ns
PLL
GCLK tco 3.088 3.332 4.747 5.153 5.665 5.529 5.784 5.280 5.797 5.660 5.863 ns
MINI-
— GCLK
LVDS_E_3R tco 1.308 1.493 1.957 2.062 2.276 2.289 2.292 2.171 2.391 2.401 2.283 ns
PLL
GCLK tco 2.711 2.894 4.033 4.387 4.843 4.717 4.978 4.486 4.943 4.816 5.035 ns
RSDS — GCLK
tco 0.934 1.059 1.248 1.300 1.459 1.480 1.491 1.379 1.542 1.561 1.459 ns
PLL
GCLK tco 3.106 3.342 4.709 5.107 5.611 5.475 5.730 5.229 5.736 5.599 5.802 ns
RSDS_E_1R — GCLK
tco 1.326 1.503 1.919 2.016 2.222 2.235 2.238 2.120 2.330 2.340 2.222 ns
PLL
GCLK tco 3.088 3.332 4.747 5.153 5.665 5.529 5.784 5.280 5.797 5.660 5.863 ns
RSDS_E_3R — GCLK
tco 1.308 1.493 1.957 2.062 2.276 2.289 2.292 2.171 2.391 2.401 2.283 ns
PLL
GCLK tco 3.132 3.375 4.783 5.187 5.698 5.562 5.817 5.313 5.826 5.689 5.892 ns
4mA GCLK
tco 1.352 1.536 1.993 2.096 2.309 2.322 2.325 2.204 2.420 2.430 2.312 ns
PLL
DIFFERENTIAL GCLK tco 3.118 3.361 4.770 5.174 5.685 5.549 5.804 5.299 5.813 5.676 5.879 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 1.338 1.522 1.980 2.083 2.296 2.309 2.312 2.190 2.407 2.417 2.299 ns
PLL
GCLK tco 3.114 3.357 4.768 5.174 5.686 5.550 5.805 5.299 5.815 5.678 5.881 ns
8mA GCLK
tco 1.334 1.518 1.978 2.083 2.297 2.310 2.313 2.190 2.409 2.419 2.301 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.130 3.372 4.769 5.171 5.680 5.544 5.799 5.296 5.808 5.671 5.874 ns
4mA GCLK
tco 1.350 1.533 1.979 2.080 2.291 2.304 2.307 2.187 2.402 2.412 2.294 ns
PLL
DIFFERENTIAL GCLK tco 3.119 3.362 4.765 5.167 5.677 5.541 5.796 5.293 5.805 5.668 5.871 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 1.339 1.523 1.975 2.076 2.288 2.301 2.304 2.184 2.399 2.409 2.291 ns
PLL
GCLK tco 3.116 3.359 4.763 5.165 5.675 5.539 5.794 5.291 5.804 5.667 5.870 ns
8mA GCLK
tco 1.336 1.520 1.973 2.074 2.286 2.299 2.302 2.182 2.398 2.408 2.290 ns
PLL
GCLK tco 3.127 3.369 4.764 5.166 5.674 5.538 5.793 5.291 5.802 5.665 5.868 ns
4mA GCLK
tco 1.347 1.530 1.974 2.075 2.285 2.298 2.301 2.182 2.396 2.406 2.288 ns
PLL
GCLK tco 3.117 3.360 4.762 5.164 5.673 5.537 5.792 5.290 5.802 5.665 5.868 ns
6mA GCLK
tco 1.337 1.521 1.972 2.073 2.284 2.297 2.300 2.181 2.396 2.406 2.288 ns
PLL
GCLK tco 3.103 3.346 4.747 5.149 5.659 5.523 5.778 5.275 5.787 5.650 5.853 ns
8mA GCLK
DIFFERENTIAL tco 1.323 1.507 1.957 2.058 2.270 2.283 2.286 2.166 2.381 2.391 2.273 ns
PLL
1.8-V
HSTL CLASS I GCLK tco 3.100 3.342 4.743 5.145 5.655 5.519 5.774 5.271 5.783 5.646 5.849 ns
10mA GCLK
tco 1.320 1.503 1.953 2.054 2.266 2.279 2.282 2.162 2.377 2.387 2.269 ns
PLL
GCLK tco 3.097 3.340 4.744 5.148 5.658 5.522 5.777 5.274 5.787 5.650 5.853 ns
12mA GCLK
tco 1.317 1.501 1.954 2.057 2.269 2.282 2.285 2.165 2.381 2.391 2.273 ns
PLL
GCLK tco 3.098 3.340 4.734 5.136 5.645 5.509 5.764 5.261 5.773 5.636 5.839 ns
16mA GCLK
tco 1.318 1.501 1.944 2.045 2.256 2.269 2.272 2.152 2.367 2.377 2.259 ns
PLL
GCLK tco 3.147 3.393 4.805 5.209 5.721 5.585 5.840 5.335 5.849 5.712 5.915 ns
4mA GCLK
tco 1.367 1.554 2.015 2.118 2.332 2.345 2.348 2.226 2.443 2.453 2.335 ns
PLL
DIFFERENTIAL GCLK tco 3.123 3.369 4.787 5.192 5.704 5.568 5.823 5.318 5.834 5.697 5.900 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 1.343 1.530 1.997 2.101 2.315 2.328 2.331 2.209 2.428 2.438 2.320 ns
PLL
GCLK tco 3.106 3.350 4.765 5.170 5.682 5.546 5.801 5.296 5.812 5.675 5.878 ns
8mA GCLK
tco 1.326 1.511 1.975 2.079 2.293 2.306 2.309 2.187 2.406 2.416 2.298 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.151 3.396 4.805 5.209 5.720 5.584 5.839 5.335 5.849 5.712 5.915 ns
4mA GCLK
tco 1.371 1.557 2.015 2.118 2.331 2.344 2.347 2.226 2.443 2.453 2.335 ns
PLL
GCLK tco 3.136 3.381 4.791 5.194 5.705 5.569 5.824 5.320 5.834 5.697 5.900 ns
6mA GCLK
tco 1.356 1.542 2.001 2.103 2.316 2.329 2.332 2.211 2.428 2.438 2.320 ns
PLL
GCLK tco 3.125 3.370 4.786 5.191 5.702 5.566 5.821 5.317 5.832 5.695 5.898 ns
8mA GCLK
tco 1.345 1.531 1.996 2.100 2.313 2.326 2.329 2.208 2.426 2.436 2.318 ns
PLL
DIFFERENTIAL GCLK tco 3.105 3.350 4.763 5.167 5.679 5.543 5.798 5.294 5.808 5.671 5.874 ns
1.8-V 10mA GCLK
SSTL CLASS I tco 1.325 1.511 1.973 2.076 2.290 2.303 2.306 2.185 2.402 2.412 2.294 ns
PLL
GCLK tco 3.102 3.346 4.759 5.164 5.675 5.539 5.794 5.290 5.805 5.668 5.871 ns
12mA GCLK
tco 1.322 1.507 1.969 2.073 2.286 2.299 2.302 2.181 2.399 2.409 2.291 ns
PLL
GCLK tco 3.107 3.350 4.750 5.152 5.661 5.525 5.780 5.277 5.789 5.652 5.855 ns
8mA GCLK
tco 1.327 1.511 1.960 2.061 2.272 2.285 2.288 2.168 2.383 2.393 2.275 ns
PLL
GCLK tco 3.100 3.343 4.749 5.153 5.664 5.528 5.783 5.280 5.794 5.657 5.860 ns
16mA GCLK
tco 1.320 1.504 1.959 2.062 2.275 2.288 2.291 2.171 2.388 2.398 2.280 ns
PLL
GCLK tco 3.138 3.382 4.787 5.190 5.700 5.564 5.819 5.316 5.829 5.692 5.895 ns
8mA GCLK
tco 1.358 1.543 1.997 2.099 2.311 2.324 2.327 2.207 2.423 2.433 2.315 ns
PLL
DIFFERENTIAL GCLK tco 3.120 3.365 4.772 5.175 5.685 5.549 5.804 5.301 5.814 5.677 5.880 ns
2.5-V 12mA GCLK
SSTL CLASS I tco 1.340 1.526 1.982 2.084 2.296 2.309 2.312 2.192 2.408 2.418 2.300 ns
PLL
GCLK tco 3.106 3.349 4.749 5.151 5.660 5.524 5.779 5.277 5.789 5.652 5.855 ns
16mA GCLK
tco 1.326 1.510 1.959 2.060 2.271 2.284 2.287 2.168 2.383 2.393 2.275 ns
PLL
Table 1–79 and Table 1–80 list the EP3SL150 regional clock (RCLK) adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–79 lists the EP3SL150 column pin delay adders when using the regional clock.
Table 1–79. EP3SL150 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.198 0.152 0.22 0.258 0.27 0.262 0.393 0.244 0.271 0.261 0.495 ns
RCLK PLL input adder 2.453 2.426 3.654 4.098 4.422 4.224 4.664 4.106 4.447 4.101 4.707 ns
RCLK output adder -0.374 -0.152 -0.216 -0.232 -0.248 -0.227 -0.367 -0.11 -0.127 -0.106 -0.303 ns
RCLK PLL output adder -2.017 -1.798 -2.68 -2.859 -3.011 -2.872 -2.715 -2.714 -3.082 -2.791 -2.766 ns
Table 1–80 lists the EP3SL150 row pin delay adders when using the regional clock.
Table 1–80. EP3SL150 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.107 0.115 0.164 0.165 0.167 0.165 0.285 0.158 0.159 0.158 0.29 ns
RCLK PLL input adder 0.074 0.075 0.116 0.123 0.129 0.126 0.218 0.114 0.118 0.114 0.223 ns
RCLK output adder -0.093 -0.103 -0.137 -0.136 -0.133 -0.134 -0.257 -0.125 -0.121 -0.122 -0.261 ns
RCLK PLL output adder -0.063 -0.065 -0.097 -0.102 -0.103 -0.102 -0.198 -0.088 -0.089 -0.088 -0.202 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.148 -1.184 -1.809 -1.777 -2.001 -1.933 -2.481 -1.777 -2.001 -1.933 -2.481 ns
GCLK
3.0-V th 1.296 1.330 2.031 2.010 2.258 2.176 2.723 2.010 2.258 2.176 2.723 ns
LVTTL tsu -1.476 -1.476 -2.237 -2.273 -2.557 -2.476 -2.996 -2.273 -2.557 -2.476 -2.996 ns
GCLK
PLL th 1.789 1.789 2.726 2.778 3.112 2.999 3.542 2.778 3.112 2.999 3.542 ns
tsu -1.148 -1.184 -1.809 -1.777 -2.001 -1.933 -2.481 -1.777 -2.001 -1.933 -2.481 ns
GCLK
3.0-V th 1.296 1.330 2.031 2.010 2.258 2.176 2.723 2.010 2.258 2.176 2.723 ns
LVCMOS tsu -1.476 -1.476 -2.237 -2.273 -2.557 -2.476 -2.996 -2.273 -2.557 -2.476 -2.996 ns
GCLK
PLL th 1.789 1.789 2.726 2.778 3.112 2.999 3.542 2.778 3.112 2.999 3.542 ns
tsu -1.143 -1.179 -1.818 -1.789 -2.020 -1.952 -2.500 -1.789 -2.020 -1.952 -2.500 ns
GCLK
th 1.291 1.325 2.040 2.022 2.277 2.195 2.742 2.022 2.277 2.195 2.742 ns
2.5 V
GCLK tsu -1.471 -1.471 -2.246 -2.285 -2.576 -2.495 -3.015 -2.285 -2.576 -2.495 -3.015 ns
PLL th 1.784 1.784 2.735 2.790 3.131 3.018 3.561 2.790 3.131 3.018 3.561 ns
tsu -1.163 -1.201 -1.858 -1.825 -2.018 -1.950 -2.498 -1.825 -2.018 -1.950 -2.498 ns
GCLK
th 1.313 1.349 2.080 2.058 2.275 2.193 2.740 2.058 2.275 2.193 2.740 ns
1.8 V
GCLK tsu -1.493 -1.493 -2.286 -2.321 -2.574 -2.493 -3.013 -2.321 -2.574 -2.493 -3.013 ns
PLL th 1.808 1.808 2.775 2.826 3.129 3.016 3.559 2.826 3.129 3.016 3.559 ns
tsu -1.153 -1.191 -1.835 -1.793 -1.948 -1.880 -2.428 -1.793 -1.948 -1.880 -2.428 ns
GCLK
th 1.303 1.339 2.057 2.026 2.205 2.123 2.670 2.026 2.205 2.123 2.670 ns
1.5 V
GCLK tsu -1.483 -1.483 -2.263 -2.289 -2.504 -2.423 -2.943 -2.289 -2.504 -2.423 -2.943 ns
PLL th 1.798 1.798 2.752 2.794 3.059 2.946 3.489 2.794 3.059 2.946 3.489 ns
tsu -1.101 -1.139 -1.758 -1.694 -1.792 -1.724 -2.272 -1.694 -1.792 -1.724 -2.272 ns
GCLK
th 1.251 1.287 1.980 1.927 2.049 1.967 2.514 1.927 2.049 1.967 2.514 ns
1.2 V
GCLK tsu -1.431 -1.431 -2.186 -2.190 -2.348 -2.267 -2.787 -2.190 -2.348 -2.267 -2.787 ns
PLL th 1.746 1.746 2.675 2.695 2.903 2.790 3.333 2.695 2.903 2.790 3.333 ns
tsu -1.072 -1.110 -1.730 -1.678 -1.794 -1.726 -2.274 -1.678 -1.794 -1.726 -2.274 ns
GCLK
SSTL-2 th 1.222 1.258 1.952 1.911 2.051 1.969 2.516 1.911 2.051 1.969 2.516 ns
CLASS I tsu -1.402 -1.402 -2.158 -2.174 -2.350 -2.269 -2.789 -2.174 -2.350 -2.269 -2.789 ns
GCLK
PLL th 1.717 1.717 2.647 2.679 2.905 2.792 3.335 2.679 2.905 2.792 3.335 ns
tsu -1.072 -1.110 -1.730 -1.678 -1.794 -1.726 -2.274 -1.678 -1.794 -1.726 -2.274 ns
GCLK
SSTL-2 th 1.222 1.258 1.952 1.911 2.051 1.969 2.516 1.911 2.051 1.969 2.516 ns
CLASS II tsu -1.402 -1.402 -2.158 -2.174 -2.350 -2.269 -2.789 -2.174 -2.350 -2.269 -2.789 ns
GCLK
PLL th 1.717 1.717 2.647 2.679 2.905 2.792 3.335 2.679 2.905 2.792 3.335 ns
tsu -1.066 -1.104 -1.717 -1.673 -1.794 -1.724 -2.272 -1.673 -1.794 -1.724 -2.272 ns
GCLK
SSTL-18 th 1.216 1.252 1.939 1.903 2.048 1.966 2.509 1.903 2.048 1.966 2.509 ns
CLASS I tsu -1.396 -1.396 -2.145 -2.166 -2.347 -2.264 -2.787 -2.166 -2.347 -2.264 -2.787 ns
GCLK
PLL th 1.711 1.711 2.634 2.668 2.899 2.786 3.328 2.668 2.899 2.786 3.328 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.066 -1.104 -1.717 -1.673 -1.794 -1.724 -2.272 -1.673 -1.794 -1.724 -2.272 ns
GCLK
SSTL-18 th 1.216 1.252 1.939 1.903 2.048 1.966 2.509 1.903 2.048 1.966 2.509 ns
CLASS II tsu -1.396 -1.396 -2.145 -2.166 -2.347 -2.264 -2.787 -2.166 -2.347 -2.264 -2.787 ns
GCLK
PLL th 1.711 1.711 2.634 2.668 2.899 2.786 3.328 2.668 2.899 2.786 3.328 ns
tsu -1.055 -1.093 -1.706 -1.662 -1.775 -1.705 -2.253 -1.662 -1.775 -1.705 -2.253 ns
GCLK
SSTL-15 th 1.205 1.241 1.927 1.892 2.029 1.947 2.490 1.892 2.029 1.947 2.490 ns
CLASS I tsu -1.385 -1.385 -2.134 -2.155 -2.328 -2.245 -2.768 -2.155 -2.328 -2.245 -2.768 ns
GCLK
PLL th 1.700 1.700 2.622 2.657 2.880 2.767 3.309 2.657 2.880 2.767 3.309 ns
tsu -1.055 -1.093 -1.706 -1.662 -1.775 -1.705 -2.253 -1.662 -1.775 -1.705 -2.253 ns
GCLK
1.8-V HSTL th 1.205 1.241 1.927 1.892 2.029 1.947 2.490 1.892 2.029 1.947 2.490 ns
CLASS I tsu -1.385 -1.385 -2.134 -2.155 -2.328 -2.245 -2.768 -2.155 -2.328 -2.245 -2.768 ns
GCLK
PLL th 1.700 1.700 2.622 2.657 2.880 2.767 3.309 2.657 2.880 2.767 3.309 ns
tsu -1.066 -1.104 -1.717 -1.673 -1.794 -1.724 -2.272 -1.673 -1.794 -1.724 -2.272 ns
GCLK
1.8-V HSTL th 1.216 1.252 1.939 1.903 2.048 1.966 2.509 1.903 2.048 1.966 2.509 ns
CLASS II tsu -1.396 -1.396 -2.145 -2.166 -2.347 -2.264 -2.787 -2.166 -2.347 -2.264 -2.787 ns
GCLK
PLL th 1.711 1.711 2.634 2.668 2.899 2.786 3.328 2.668 2.899 2.786 3.328 ns
tsu -1.066 -1.104 -1.717 -1.673 -1.794 -1.724 -2.272 -1.673 -1.794 -1.724 -2.272 ns
GCLK
1.5-V HSTL th 1.216 1.252 1.939 1.903 2.048 1.966 2.509 1.903 2.048 1.966 2.509 ns
CLASS I tsu -1.396 -1.396 -2.145 -2.166 -2.347 -2.264 -2.787 -2.166 -2.347 -2.264 -2.787 ns
GCLK
PLL th 1.711 1.711 2.634 2.668 2.899 2.786 3.328 2.668 2.899 2.786 3.328 ns
tsu -1.055 -1.093 -1.706 -1.662 -1.775 -1.705 -2.253 -1.662 -1.775 -1.705 -2.253 ns
GCLK
1.5-V HSTL th 1.205 1.241 1.927 1.892 2.029 1.947 2.490 1.892 2.029 1.947 2.490 ns
CLASS II tsu -1.385 -1.385 -2.134 -2.155 -2.328 -2.245 -2.768 -2.155 -2.328 -2.245 -2.768 ns
GCLK
PLL th 1.700 1.700 2.622 2.657 2.880 2.767 3.309 2.657 2.880 2.767 3.309 ns
tsu -1.055 -1.093 -1.706 -1.662 -1.775 -1.705 -2.253 -1.662 -1.775 -1.705 -2.253 ns
GCLK
1.2-V HSTL th 1.205 1.241 1.927 1.892 2.029 1.947 2.490 1.892 2.029 1.947 2.490 ns
CLASS I tsu -1.385 -1.385 -2.134 -2.155 -2.328 -2.245 -2.768 -2.155 -2.328 -2.245 -2.768 ns
GCLK
PLL th 1.700 1.700 2.622 2.657 2.880 2.767 3.309 2.657 2.880 2.767 3.309 ns
tsu -1.043 -1.081 -1.696 -1.651 -1.759 -1.689 -2.237 -1.651 -1.759 -1.689 -2.237 ns
GCLK
1.2-V HSTL th 1.193 1.229 1.917 1.881 2.013 1.931 2.474 1.881 2.013 1.931 2.474 ns
CLASS II tsu -1.373 -1.373 -2.124 -2.144 -2.312 -2.229 -2.752 -2.144 -2.312 -2.229 -2.752 ns
GCLK
PLL th 1.688 1.688 2.612 2.646 2.864 2.751 3.293 2.646 2.864 2.751 3.293 ns
tsu -1.043 -1.081 -1.696 -1.651 -1.759 -1.689 -2.237 -1.651 -1.759 -1.689 -2.237 ns
GCLK
th 1.193 1.229 1.917 1.881 2.013 1.931 2.474 1.881 2.013 1.931 2.474 ns
3.0-V PCI
GCLK tsu -1.373 -1.373 -2.124 -2.144 -2.312 -2.229 -2.752 -2.144 -2.312 -2.229 -2.752 ns
PLL th 1.688 1.688 2.612 2.646 2.864 2.751 3.293 2.646 2.864 2.751 3.293 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.148 -1.184 -1.809 -1.777 -2.001 -1.933 -2.481 -1.777 -2.001 -1.933 -2.481 ns
GCLK
3.0-V th 1.296 1.330 2.031 2.010 2.258 2.176 2.723 2.010 2.258 2.176 2.723 ns
PCI-X tsu -1.476 -1.476 -2.237 -2.273 -2.557 -2.476 -2.996 -2.273 -2.557 -2.476 -2.996 ns
GCLK
PLL th 1.789 1.789 2.726 2.778 3.112 2.999 3.542 2.778 3.112 2.999 3.542 ns
Table 1–82 lists the EP3SL200 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu 0.901 0.913 2.151 2.164 2.422 2.330 2.755 2.298 2.461 2.330 2.755 ns
GCLK
th 1.447 1.496 -1.914 -1.918 -2.157 -2.080 -2.501 -2.046 -2.184 -2.080 -2.501 ns
1.5 V
GCLK tsu -1.252 -1.290 1.751 1.957 2.171 2.052 1.977 1.855 2.071 2.052 1.977 ns
PLL th 0.961 0.966 2.130 2.128 2.431 2.339 2.764 2.280 2.463 2.339 2.764 ns
tsu 1.387 1.443 -1.876 -1.893 -2.152 -2.074 -2.497 -2.014 -2.177 -2.074 -2.497 ns
GCLK
th -1.235 -1.266 1.766 -1.893 -2.152 -2.074 -2.497 -2.014 -2.177 -2.074 -2.497 ns
1.2 V
GCLK tsu 0.978 0.992 2.113 2.137 2.415 2.323 2.747 2.264 2.451 2.323 2.747 ns
PLL th 1.370 1.419 -1.876 1.882 2.174 2.064 1.973 1.869 2.080 2.064 1.973 ns
tsu -1.235 -1.266 1.766 1.882 2.174 2.064 1.973 1.869 2.080 2.064 1.973 ns
GCLK
SSTL-2 th 0.978 0.992 2.113 -1.387 -1.620 -1.542 -1.441 -1.361 -1.518 -1.542 -1.441 ns
CLASS I tsu 1.370 1.419 -1.876 -1.893 -2.152 -2.074 -2.497 -2.014 -2.177 -2.074 -2.497 ns
GCLK
PLL th -1.226 -1.255 1.766 2.137 2.415 2.323 2.747 2.264 2.451 2.323 2.747 ns
tsu 0.987 1.001 2.113 1.882 2.174 2.064 1.973 1.869 2.080 2.064 1.973 ns
GCLK
SSTL-2 th 1.361 1.408 -1.876 -1.387 -1.620 -1.542 -1.441 -1.361 -1.518 -1.542 -1.441 ns
CLASS II tsu -1.226 -1.255 -1.302 -1.883 -2.134 -2.056 -2.479 -2.003 -2.160 -2.056 -2.479 ns
GCLK
PLL th 0.987 1.001 -1.863 2.127 2.397 2.305 2.729 2.253 2.434 2.305 2.729 ns
tsu 1.361 1.408 2.101 1.892 2.192 2.082 1.991 1.880 2.097 2.082 1.991 ns
GCLK
SSTL-18 th -1.212 -1.243 1.766 1.892 2.192 2.082 1.991 1.880 2.097 2.082 1.991 ns
CLASS I tsu 1.001 1.013 2.113 -1.397 -1.638 -1.560 -1.459 -1.372 -1.535 -1.560 -1.459 ns
GCLK
PLL th 1.347 1.396 -1.876 -1.883 -2.134 -2.056 -2.479 -2.003 -2.160 -2.056 -2.479 ns
tsu -1.226 -1.255 1.766 -1.387 -1.620 -1.542 -1.441 -1.361 -1.518 -1.542 -1.441 ns
GCLK
SSTL-18 th 0.987 1.001 2.113 -1.893 -2.152 -2.074 -2.497 -2.014 -2.177 -2.074 -2.497 ns
CLASS II tsu 1.361 1.408 -1.876 2.137 2.415 2.323 2.747 2.264 2.451 2.323 2.747 ns
GCLK
PLL th -1.226 -1.255 -1.302 1.882 2.174 2.064 1.973 1.869 2.080 2.064 1.973 ns
tsu 0.987 1.001 -1.863 -1.387 -1.620 -1.542 -1.441 -1.361 -1.518 -1.542 -1.441 ns
GCLK
SSTL-15 th 1.361 1.408 2.101 -1.893 -2.152 -2.074 -2.497 -2.014 -2.177 -2.074 -2.497 ns
CLASS I tsu -1.212 -1.243 1.781 2.137 2.415 2.323 2.747 2.264 2.451 2.323 2.747 ns
GCLK
PLL th 1.001 1.013 -1.302 1.882 2.174 2.064 1.973 1.869 2.080 2.064 1.973 ns
tsu 1.347 1.396 -1.863 -1.387 -1.620 -1.542 -1.441 -1.361 -1.518 -1.542 -1.441 ns
GCLK
1.8-V HSTL th -1.212 -1.243 -1.311 1.892 2.192 2.082 1.991 1.880 2.097 2.082 1.991 ns
CLASS I tsu 1.001 1.013 -1.854 -1.397 -1.638 -1.560 -1.459 -1.372 -1.535 -1.560 -1.459 ns
GCLK
PLL th 1.347 1.396 2.092 -1.883 -2.134 -2.056 -2.479 -2.003 -2.160 -2.056 -2.479 ns
tsu -1.203 -1.231 1.790 2.127 2.397 2.305 2.729 2.253 2.434 2.305 2.729 ns
GCLK
1.8-V HSTL th 1.010 1.025 -1.311 1.892 2.192 2.082 1.991 1.880 2.097 2.082 1.991 ns
CLASS II tsu 1.338 1.384 -1.854 -1.397 -1.638 -1.560 -1.459 -1.372 -1.535 -1.560 -1.459 ns
GCLK
PLL th -1.203 -1.231 -1.311 1.902 2.208 2.098 2.007 1.889 2.113 2.098 2.007 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu 1.010 1.025 -1.969 -1.407 -1.654 -1.576 -1.475 -1.381 -1.551 -1.576 -1.475 ns
GCLK
1.5-V HSTL th 1.338 1.384 1.674 -1.873 -2.118 -2.040 -2.463 -1.994 -2.144 -2.040 -2.463 ns
CLASS I tsu -1.304 -1.332 2.207 2.117 2.381 2.289 2.713 2.244 2.418 2.289 2.713 ns
GCLK
PLL th 1.438 1.484 -1.969 1.902 2.208 2.098 2.007 1.889 2.113 2.098 2.007 ns
tsu 0.909 0.927 1.674 -1.407 -1.654 -1.576 -1.475 -1.381 -1.551 -1.576 -1.475 ns
GCLK
1.5-V HSTL th -0.632 -0.632 2.207 -1.873 -2.118 -2.040 -2.463 -1.994 -2.144 -2.040 -2.463 ns
CLASS II tsu -1.304 -1.332 2.207 2.117 2.381 2.289 2.713 2.244 2.418 2.289 2.713 ns
GCLK
PLL th 1.438 1.484 2.207 1.902 2.208 2.098 2.007 1.889 2.113 2.098 2.007 ns
tsu 0.909 0.927 2.207 -1.407 -1.654 -1.576 -1.475 -1.381 -1.551 -1.576 -1.475 ns
GCLK
1.2-V HSTL th -0.632 -0.632 2.207 -1.873 -2.118 -2.040 -2.463 -1.994 -2.144 -2.040 -2.463 ns
CLASS I tsu -1.298 -1.321 -1.972 -1.978 -2.368 -2.291 -2.712 -2.132 -2.388 -2.291 -2.712 ns
GCLK
PLL th 1.432 1.473 1.671 1.862 1.969 1.850 1.775 1.751 1.869 1.850 1.775 ns
tsu 0.915 0.938 2.210 2.223 2.633 2.541 2.966 2.384 2.665 2.541 2.966 ns
GCLK
1.2-V HSTL th -0.638 -0.643 -1.972 -1.978 -2.368 -2.291 -2.712 -2.132 -2.388 -2.291 -2.712 ns
CLASS II tsu -1.298 -1.321 -1.972 -1.978 -2.368 -2.291 -2.712 -2.132 -2.388 -2.291 -2.712 ns
GCLK
PLL th 1.432 1.473 1.671 1.862 1.969 1.850 1.775 1.751 1.869 1.850 1.775 ns
tsu 0.915 0.938 2.210 2.223 2.633 2.541 2.966 2.384 2.665 2.541 2.966 ns
GCLK
th -0.638 -0.643 -1.969 -1.979 -2.371 -2.294 -2.715 -2.131 -2.393 -2.294 -2.715 ns
3.0-V PCI
GCLK tsu -1.304 -1.332 2.207 2.224 2.636 2.544 2.969 2.383 2.670 2.544 2.969 ns
PLL th 1.438 1.484 -1.969 -1.979 -2.371 -2.294 -2.715 -2.131 -2.393 -2.294 -2.715 ns
tsu 0.909 0.927 1.674 1.861 1.966 1.847 1.772 1.752 1.864 1.847 1.772 ns
GCLK
3.0-V th -0.632 -0.632 2.207 2.224 2.636 2.544 2.969 2.383 2.670 2.544 2.969 ns
PCI-X tsu -1.304 -1.332 2.216 2.237 2.651 2.559 2.984 2.392 2.680 2.559 2.984 ns
GCLK
PLL th 1.438 1.484 -1.978 -1.992 -2.386 -2.309 -2.730 -2.140 -2.403 -2.309 -2.730 ns
Table 1–83 lists the EP3SL200 column pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.677 3.677 5.302 5.492 5.997 5.854 6.259 5.492 5.997 5.854 6.259 ns
4mA GCLK
tco 4.076 4.076 5.937 6.132 6.701 6.529 7.016 6.132 6.701 6.529 7.016 ns
PLL
GCLK tco 3.610 3.610 5.193 5.381 5.884 5.741 6.146 5.381 5.884 5.741 6.146 ns
8mA GCLK
tco 4.009 4.009 5.828 6.021 6.588 6.416 6.903 6.021 6.588 6.416 6.903 ns
3.3-V PLL
LVTTL GCLK tco 3.524 3.524 5.089 5.282 5.792 5.649 6.054 5.282 5.792 5.649 6.054 ns
12mA GCLK
tco 3.923 3.923 5.724 5.922 6.496 6.324 6.811 5.922 6.496 6.324 6.811 ns
PLL
GCLK tco 3.517 3.517 5.072 5.254 5.751 5.608 6.013 5.254 5.751 5.608 6.013 ns
16mA GCLK
tco 3.916 3.916 5.707 5.894 6.455 6.283 6.770 5.894 6.455 6.283 6.770 ns
PLL
GCLK tco 3.683 3.683 5.306 5.497 6.004 5.861 6.266 5.497 6.004 5.861 6.266 ns
4mA GCLK
tco 4.082 4.082 5.941 6.137 6.708 6.536 7.023 6.137 6.708 6.536 7.023 ns
PLL
GCLK tco 3.528 3.528 5.099 5.299 5.803 5.660 6.065 5.299 5.803 5.660 6.065 ns
8mA GCLK
tco 3.927 3.927 5.734 5.939 6.507 6.335 6.822 5.939 6.507 6.335 6.822 ns
3.3-V PLL
LVCMOS GCLK tco 3.535 3.535 5.093 5.278 5.777 5.634 6.039 5.278 5.777 5.634 6.039 ns
12mA GCLK
tco 3.934 3.934 5.728 5.918 6.481 6.309 6.796 5.918 6.481 6.309 6.796 ns
PLL
GCLK tco 3.519 3.519 5.071 5.253 5.748 5.605 6.010 5.253 5.748 5.605 6.010 ns
16mA GCLK
tco 3.918 3.918 5.706 5.893 6.452 6.280 6.767 5.893 6.452 6.280 6.767 ns
PLL
GCLK tco 3.641 3.641 5.269 5.460 5.964 5.821 6.226 5.460 5.964 5.821 6.226 ns
4mA GCLK
tco 4.040 4.040 5.904 6.100 6.668 6.496 6.983 6.100 6.668 6.496 6.983 ns
PLL
GCLK tco 3.530 3.530 5.139 5.326 5.826 5.684 6.088 5.326 5.826 5.684 6.088 ns
8mA GCLK
tco 3.929 3.929 5.774 5.966 6.531 6.360 6.845 5.966 6.531 6.360 6.845 ns
3.0-V PLL
LVTTL GCLK tco 3.494 3.494 5.076 5.257 5.752 5.610 6.014 5.257 5.752 5.610 6.014 ns
12mA GCLK
tco 3.893 3.893 5.711 5.897 6.457 6.286 6.771 5.897 6.457 6.286 6.771 ns
PLL
GCLK tco 3.476 3.476 5.047 5.229 5.724 5.581 5.986 5.229 5.724 5.581 5.986 ns
16mA GCLK
tco 3.875 3.875 5.682 5.869 6.428 6.256 6.743 5.869 6.428 6.256 6.743 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.555 3.555 5.173 5.360 5.861 5.719 6.123 5.360 5.861 5.719 6.123 ns
4mA GCLK
tco 3.954 3.954 5.808 6.000 6.566 6.395 6.880 6.000 6.566 6.395 6.880 ns
PLL
GCLK tco 3.476 3.476 5.049 5.231 5.726 5.584 5.988 5.231 5.726 5.584 5.988 ns
8mA GCLK
tco 3.875 3.875 5.684 5.871 6.431 6.260 6.745 5.871 6.431 6.260 6.745 ns
3.0-V PLL
LVCMOS GCLK tco 3.471 3.471 5.042 5.224 5.718 5.575 5.980 5.224 5.718 5.575 5.980 ns
12mA GCLK
tco 3.870 3.870 5.677 5.864 6.422 6.250 6.737 5.864 6.422 6.250 6.737 ns
PLL
GCLK tco 3.462 3.462 5.028 5.209 5.703 5.560 5.965 5.209 5.703 5.560 5.965 ns
16mA GCLK
tco 3.861 3.861 5.663 5.849 6.407 6.235 6.722 5.849 6.407 6.235 6.722 ns
PLL
GCLK tco 3.677 3.677 5.380 5.587 6.108 5.966 6.370 5.587 6.108 5.966 6.370 ns
4mA GCLK
tco 4.076 4.076 6.015 6.227 6.813 6.642 7.127 6.227 6.813 6.642 7.127 ns
PLL
GCLK tco 3.577 3.577 5.261 5.461 5.976 5.834 6.238 5.461 5.976 5.834 6.238 ns
8mA GCLK
tco 3.976 3.976 5.896 6.101 6.681 6.510 6.995 6.101 6.681 6.510 6.995 ns
PLL
2.5 V
GCLK tco 3.533 3.533 5.174 5.370 5.881 5.738 6.143 5.370 5.881 5.738 6.143 ns
12mA GCLK
tco 3.932 3.932 5.809 6.010 6.585 6.413 6.900 6.010 6.585 6.413 6.900 ns
PLL
GCLK tco 3.495 3.495 5.135 5.328 5.838 5.695 6.100 5.328 5.838 5.695 6.100 ns
16mA GCLK
tco 3.894 3.894 5.770 5.968 6.542 6.370 6.857 5.968 6.542 6.370 6.857 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.868 3.868 5.701 5.947 6.513 6.370 6.775 5.947 6.513 6.370 6.775 ns
2mA GCLK
tco 4.267 4.267 6.336 6.587 7.217 7.045 7.532 6.587 7.217 7.045 7.532 ns
PLL
GCLK tco 3.687 3.687 5.422 5.638 6.165 6.023 6.427 5.638 6.165 6.023 6.427 ns
4mA GCLK
tco 4.086 4.086 6.057 6.278 6.870 6.699 7.184 6.278 6.870 6.699 7.184 ns
PLL
GCLK tco 3.605 3.605 5.315 5.523 6.055 5.912 6.317 5.523 6.055 5.912 6.317 ns
6mA GCLK
tco 4.004 4.004 5.950 6.163 6.759 6.587 7.074 6.163 6.759 6.587 7.074 ns
PLL
1.8 V
GCLK tco 3.585 3.585 5.257 5.469 5.989 5.846 6.251 5.469 5.989 5.846 6.251 ns
8mA GCLK
tco 3.984 3.984 5.892 6.109 6.693 6.521 7.008 6.109 6.693 6.521 7.008 ns
PLL
GCLK tco 3.522 3.522 5.196 5.394 5.908 5.765 6.170 5.394 5.908 5.765 6.170 ns
10mA GCLK
tco 3.921 3.921 5.831 6.034 6.612 6.440 6.927 6.034 6.612 6.440 6.927 ns
PLL
GCLK tco 3.504 3.504 5.175 5.373 5.885 5.742 6.147 5.373 5.885 5.742 6.147 ns
12mA GCLK
tco 3.903 3.903 5.810 6.013 6.589 6.417 6.904 6.013 6.589 6.417 6.904 ns
PLL
GCLK tco 3.814 3.814 5.630 5.879 6.451 6.308 6.713 5.879 6.451 6.308 6.713 ns
2mA GCLK
tco 4.213 4.213 6.265 6.519 7.155 6.983 7.470 6.519 7.155 6.983 7.470 ns
PLL
GCLK tco 3.602 3.602 5.311 5.523 6.059 5.916 6.321 5.523 6.059 5.916 6.321 ns
4mA GCLK
tco 4.001 4.001 5.946 6.163 6.763 6.591 7.078 6.163 6.763 6.591 7.078 ns
PLL
GCLK tco 3.577 3.577 5.244 5.463 5.992 5.849 6.254 5.463 5.992 5.849 6.254 ns
6mA GCLK
tco 3.976 3.976 5.879 6.103 6.696 6.524 7.011 6.103 6.696 6.524 7.011 ns
PLL
1.5 V
GCLK tco 3.566 3.566 5.227 5.438 5.972 5.829 6.234 5.438 5.972 5.829 6.234 ns
8mA GCLK
tco 3.965 3.965 5.862 6.078 6.676 6.504 6.991 6.078 6.676 6.504 6.991 ns
PLL
GCLK tco 3.511 3.511 5.189 5.387 5.902 5.759 6.164 5.387 5.902 5.759 6.164 ns
10mA GCLK
tco 3.910 3.910 5.824 6.027 6.606 6.434 6.921 6.027 6.606 6.434 6.921 ns
PLL
GCLK tco 3.506 3.506 5.172 5.376 5.891 5.748 6.153 5.376 5.891 5.748 6.153 ns
12mA GCLK
tco 3.905 3.905 5.807 6.016 6.595 6.423 6.910 6.016 6.595 6.423 6.910 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.730 3.730 5.556 5.815 6.395 6.252 6.657 5.815 6.395 6.252 6.657 ns
2mA GCLK
tco 4.129 4.129 6.191 6.455 7.099 6.927 7.414 6.455 7.099 6.927 7.414 ns
PLL
GCLK tco 3.607 3.607 5.330 5.553 6.109 5.966 6.371 5.553 6.109 5.966 6.371 ns
4mA GCLK
tco 4.006 4.006 5.965 6.193 6.813 6.641 7.128 6.193 6.813 6.641 7.128 ns
PLL
1.2 V
GCLK tco 3.569 3.569 5.238 5.464 5.996 5.853 6.258 5.464 5.996 5.853 6.258 ns
6mA GCLK
tco 3.968 3.968 5.873 6.104 6.700 6.528 7.015 6.104 6.700 6.528 7.015 ns
PLL
GCLK tco 3.522 3.522 5.210 5.415 5.940 5.797 6.202 5.415 5.940 5.797 6.202 ns
8mA GCLK
tco 3.921 3.921 5.845 6.055 6.644 6.472 6.959 6.055 6.644 6.472 6.959 ns
PLL
GCLK tco 3.522 3.522 5.167 5.362 5.871 5.728 6.133 5.362 5.871 5.728 6.133 ns
8mA GCLK
tco 3.921 3.921 5.802 6.002 6.575 6.403 6.890 6.002 6.575 6.403 6.890 ns
PLL
GCLK tco 3.519 3.519 5.164 5.359 5.867 5.724 6.129 5.359 5.867 5.724 6.129 ns
SSTL-2
10mA GCLK
CLASS I tco 3.918 3.918 5.799 5.999 6.571 6.399 6.886 5.999 6.571 6.399 6.886 ns
PLL
GCLK tco 3.517 3.517 5.164 5.360 5.868 5.725 6.130 5.360 5.868 5.725 6.130 ns
12mA GCLK
tco 3.916 3.916 5.799 6.000 6.572 6.400 6.887 6.000 6.572 6.400 6.887 ns
PLL
GCLK tco 3.508 3.508 5.149 5.344 5.853 5.710 6.115 5.344 5.853 5.710 6.115 ns
SSTL-2
16mA GCLK
CLASS II tco 3.907 3.907 5.784 5.984 6.557 6.385 6.872 5.984 6.557 6.385 6.872 ns
PLL
GCLK tco 3.529 3.529 5.179 5.376 5.887 5.744 6.149 5.376 5.887 5.744 6.149 ns
4mA GCLK
tco 3.928 3.928 5.814 6.016 6.591 6.419 6.906 6.016 6.591 6.419 6.906 ns
PLL
GCLK tco 3.525 3.525 5.177 5.374 5.885 5.742 6.147 5.374 5.885 5.742 6.147 ns
6mA GCLK
tco 3.924 3.924 5.812 6.014 6.589 6.417 6.904 6.014 6.589 6.417 6.904 ns
PLL
GCLK tco 3.514 3.514 5.167 5.365 5.876 5.733 6.138 5.365 5.876 5.733 6.138 ns
SSTL-18
8mA GCLK
CLASS I tco 3.913 3.913 5.802 6.005 6.580 6.408 6.895 6.005 6.580 6.408 6.895 ns
PLL
GCLK tco 3.503 3.503 5.154 5.352 5.863 5.720 6.125 5.352 5.863 5.720 6.125 ns
10mA GCLK
tco 3.902 3.902 5.789 5.992 6.567 6.395 6.882 5.992 6.567 6.395 6.882 ns
PLL
GCLK tco 3.503 3.503 5.154 5.352 5.863 5.720 6.125 5.352 5.863 5.720 6.125 ns
12mA GCLK
tco 3.902 3.902 5.789 5.992 6.567 6.395 6.882 5.992 6.567 6.395 6.882 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.509 3.509 5.153 5.349 5.858 5.715 6.120 5.349 5.858 5.715 6.120 ns
8mA GCLK
tco 3.908 3.908 5.788 5.989 6.562 6.390 6.877 5.989 6.562 6.390 6.877 ns
SSTL-18 PLL
CLASS II GCLK tco 3.512 3.512 5.161 5.358 5.870 5.727 6.132 5.358 5.870 5.727 6.132 ns
16mA GCLK
tco 3.911 3.911 5.796 5.998 6.574 6.402 6.889 5.998 6.574 6.402 6.889 ns
PLL
GCLK tco 3.533 3.533 5.188 5.388 5.900 5.757 6.162 5.388 5.900 5.757 6.162 ns
4mA GCLK
tco 3.932 3.932 5.823 6.028 6.604 6.432 6.919 6.028 6.604 6.432 6.919 ns
PLL
GCLK tco 3.519 3.519 5.178 5.378 5.891 5.748 6.153 5.378 5.891 5.748 6.153 ns
6mA GCLK
tco 3.918 3.918 5.813 6.018 6.595 6.423 6.910 6.018 6.595 6.423 6.910 ns
PLL
GCLK tco 3.508 3.508 5.164 5.364 5.877 5.734 6.139 5.364 5.877 5.734 6.139 ns
SSTL-15
8mA GCLK
CLASS I tco 3.907 3.907 5.799 6.004 6.581 6.409 6.896 6.004 6.581 6.409 6.896 ns
PLL
GCLK tco 3.507 3.507 5.167 5.367 5.881 5.738 6.143 5.367 5.881 5.738 6.143 ns
10mA GCLK
tco 3.906 3.906 5.802 6.007 6.585 6.413 6.900 6.007 6.585 6.413 6.900 ns
PLL
GCLK tco 3.504 3.504 5.162 5.362 5.875 5.732 6.137 5.362 5.875 5.732 6.137 ns
12mA GCLK
tco 3.903 3.903 5.797 6.002 6.579 6.407 6.894 6.002 6.579 6.407 6.894 ns
PLL
GCLK tco 3.506 3.506 5.151 5.348 5.858 5.715 6.120 5.348 5.858 5.715 6.120 ns
8mA GCLK
tco 3.905 3.905 5.786 5.988 6.562 6.390 6.877 5.988 6.562 6.390 6.877 ns
SSTL-15 PLL
CLASS II GCLK tco 3.509 3.509 5.158 5.357 5.869 5.726 6.131 5.357 5.869 5.726 6.131 ns
16mA GCLK
tco 3.908 3.908 5.793 5.997 6.573 6.401 6.888 5.997 6.573 6.401 6.888 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.516 3.516 5.153 5.348 5.856 5.713 6.118 5.348 5.856 5.713 6.118 ns
4mA GCLK
tco 3.915 3.915 5.788 5.988 6.560 6.388 6.875 5.988 6.560 6.388 6.875 ns
PLL
GCLK tco 3.509 3.509 5.151 5.346 5.855 5.712 6.117 5.346 5.855 5.712 6.117 ns
6mA GCLK
tco 3.908 3.908 5.786 5.986 6.559 6.387 6.874 5.986 6.559 6.387 6.874 ns
PLL
1.8-V GCLK tco 3.501 3.501 5.143 5.339 5.848 5.705 6.110 5.339 5.848 5.705 6.110 ns
HSTL 8mA GCLK
CLASS I tco 3.900 3.900 5.778 5.979 6.552 6.380 6.867 5.979 6.552 6.380 6.867 ns
PLL
GCLK tco 3.504 3.504 5.146 5.342 5.852 5.709 6.114 5.342 5.852 5.709 6.114 ns
10mA GCLK
tco 3.903 3.903 5.781 5.982 6.556 6.384 6.871 5.982 6.556 6.384 6.871 ns
PLL
GCLK tco 3.501 3.501 5.149 5.346 5.856 5.713 6.118 5.346 5.856 5.713 6.118 ns
12mA GCLK
tco 3.900 3.900 5.784 5.986 6.560 6.388 6.875 5.986 6.560 6.388 6.875 ns
PLL
1.8-V GCLK tco 3.509 3.509 5.148 5.343 5.852 5.709 6.114 5.343 5.852 5.709 6.114 ns
HSTL 16mA GCLK
CLASS II tco 3.908 3.908 5.783 5.983 6.556 6.384 6.871 5.983 6.556 6.384 6.871 ns
PLL
GCLK tco 3.521 3.521 5.161 5.358 5.867 5.724 6.129 5.358 5.867 5.724 6.129 ns
4mA GCLK
tco 3.920 3.920 5.796 5.998 6.571 6.399 6.886 5.998 6.571 6.399 6.886 ns
PLL
GCLK tco 3.517 3.517 5.162 5.359 5.870 5.727 6.132 5.359 5.870 5.727 6.132 ns
6mA GCLK
tco 3.916 3.916 5.797 5.999 6.574 6.402 6.889 5.999 6.574 6.402 6.889 ns
PLL
1.5-V GCLK tco 3.513 3.513 5.158 5.355 5.865 5.722 6.127 5.355 5.865 5.722 6.127 ns
HSTL 8mA GCLK
CLASS I tco 3.912 3.912 5.793 5.995 6.569 6.397 6.884 5.995 6.569 6.397 6.884 ns
PLL
GCLK tco 3.506 3.506 5.151 5.348 5.858 5.715 6.120 5.348 5.858 5.715 6.120 ns
10mA GCLK
tco 3.905 3.905 5.786 5.988 6.562 6.390 6.877 5.988 6.562 6.390 6.877 ns
PLL
GCLK tco 3.507 3.507 5.158 5.356 5.868 5.725 6.130 5.356 5.868 5.725 6.130 ns
12mA GCLK
tco 3.906 3.906 5.793 5.996 6.572 6.400 6.887 5.996 6.572 6.400 6.887 ns
PLL
1.5-V GCLK tco 3.505 3.505 5.139 5.334 5.842 5.699 6.104 5.334 5.842 5.699 6.104 ns
HSTL 16mA GCLK
CLASS II tco 3.904 3.904 5.774 5.974 6.546 6.374 6.861 5.974 6.546 6.374 6.861 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.524 3.524 5.175 5.375 5.888 5.745 6.150 5.375 5.888 5.745 6.150 ns
4mA GCLK
tco 3.923 3.923 5.810 6.015 6.592 6.420 6.907 6.015 6.592 6.420 6.907 ns
PLL
GCLK tco 3.516 3.516 5.166 5.366 5.879 5.736 6.141 5.366 5.879 5.736 6.141 ns
6mA GCLK
tco 3.915 3.915 5.801 6.006 6.583 6.411 6.898 6.006 6.583 6.411 6.898 ns
PLL
1.2-V GCLK tco 3.517 3.517 5.174 5.374 5.888 5.745 6.150 5.374 5.888 5.745 6.150 ns
HSTL 8mA GCLK
CLASS I tco 3.916 3.916 5.809 6.014 6.592 6.420 6.907 6.014 6.592 6.420 6.907 ns
PLL
GCLK tco 3.506 3.506 5.161 5.361 5.874 5.731 6.136 5.361 5.874 5.731 6.136 ns
10mA GCLK
tco 3.905 3.905 5.796 6.001 6.578 6.406 6.893 6.001 6.578 6.406 6.893 ns
PLL
GCLK tco 3.506 3.506 5.161 5.361 5.875 5.732 6.137 5.361 5.875 5.732 6.137 ns
12mA GCLK
tco 3.905 3.905 5.796 6.001 6.579 6.407 6.894 6.001 6.579 6.407 6.894 ns
PLL
1.2-V GCLK tco 3.527 3.527 5.177 5.376 5.888 5.745 6.150 5.376 5.888 5.745 6.150 ns
HSTL 16mA GCLK
CLASS II tco 3.926 3.926 5.812 6.016 6.592 6.420 6.907 6.016 6.592 6.420 6.907 ns
PLL
GCLK tco 3.630 3.630 5.222 5.410 5.913 5.770 6.175 5.410 5.913 5.770 6.175 ns
3.0-V PCI — GCLK
tco 4.029 4.029 5.857 6.050 6.617 6.445 6.932 6.050 6.617 6.445 6.932 ns
PLL
GCLK tco 3.630 3.630 5.222 5.410 5.913 5.770 6.175 5.410 5.913 5.770 6.175 ns
3.0-V
— GCLK
PCI-X tco 4.029 4.029 5.857 6.050 6.617 6.445 6.932 6.050 6.617 6.445 6.932 ns
PLL
Table 1–84 lists the EP3SL200 row pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.639 3.902 5.663 5.916 6.397 6.238 6.709 6.053 6.634 6.238 6.709 ns
4mA GCLK
tco 1.551 1.768 2.210 2.317 2.505 2.518 2.444 2.409 2.649 2.518 2.444 ns
PLL
3.3-V GCLK tco 3.548 3.813 5.533 5.778 6.283 6.124 6.565 5.912 6.485 6.124 6.565 ns
LVTTL 8mA GCLK
tco 1.468 1.663 2.080 2.179 2.361 2.374 2.300 2.268 2.500 2.374 2.300 ns
PLL
GCLK tco 3.469 3.724 5.414 5.655 6.187 6.028 6.437 5.785 6.353 6.028 6.437 ns
12mA GCLK
tco 1.389 1.560 1.961 2.056 2.233 2.246 2.172 2.147 2.368 2.246 2.172 ns
PLL
GCLK tco 3.649 3.906 5.671 5.921 6.406 6.247 6.714 6.059 6.639 6.247 6.714 ns
4mA GCLK
3.3-V tco 1.561 1.772 2.218 2.322 2.510 2.523 2.449 2.415 2.654 2.523 2.449 ns
PLL
LVCMOS
GCLK tco 3.473 3.728 5.420 5.661 6.197 6.038 6.443 5.794 6.360 6.038 6.443 ns
8mA GCLK
tco 1.393 1.564 1.967 2.062 2.239 2.252 2.178 2.159 2.375 2.252 2.178 ns
PLL
GCLK tco 3.593 3.848 5.615 5.869 6.363 6.204 6.666 6.010 6.592 6.204 6.666 ns
4mA GCLK
tco 1.505 1.714 2.162 2.270 2.462 2.475 2.401 2.366 2.607 2.475 2.401 ns
PLL
3.0-V GCLK tco 3.474 3.733 5.463 5.710 6.218 6.059 6.502 5.848 6.428 6.059 6.502 ns
LVTTL 8mA GCLK
tco 1.394 1.587 2.010 2.111 2.298 2.311 2.237 2.204 2.443 2.311 2.237 ns
PLL
GCLK tco 3.437 3.695 5.395 5.627 6.148 5.989 6.414 5.762 6.335 5.989 6.414 ns
12mA GCLK
tco 1.357 1.536 1.928 2.028 2.210 2.223 2.149 2.118 2.350 2.223 2.149 ns
PLL
GCLK tco 3.507 3.767 5.510 5.762 6.254 6.095 6.555 5.902 6.481 6.095 6.555 ns
4mA GCLK
3.0-V tco 1.419 1.633 2.057 2.163 2.351 2.364 2.290 2.258 2.496 2.364 2.290 ns
PLL
LVCMOS
GCLK tco 3.424 3.679 5.368 5.588 6.120 5.961 6.375 5.722 6.295 5.961 6.375 ns
8mA GCLK
tco 1.344 1.515 1.893 1.989 2.171 2.184 2.110 2.087 2.310 2.184 2.110 ns
PLL
GCLK tco 3.619 3.884 5.748 6.023 6.508 6.349 6.838 6.170 6.771 6.349 6.838 ns
4mA GCLK
tco 1.531 1.750 2.295 2.424 2.634 2.647 2.573 2.526 2.786 2.647 2.573 ns
PLL
GCLK tco 3.516 3.785 5.593 5.860 6.366 6.207 6.668 6.003 6.597 6.207 6.668 ns
2.5 V
8mA GCLK
tco 1.436 1.651 2.140 2.261 2.464 2.477 2.403 2.359 2.612 2.477 2.403 ns
PLL
GCLK tco 3.463 3.735 5.492 5.741 6.275 6.116 6.542 5.880 6.467 6.116 6.542 ns
12mA GCLK
tco 1.379 1.575 2.029 2.142 2.338 2.351 2.277 2.236 2.482 2.351 2.277 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.863 4.170 6.174 6.451 7.093 6.933 7.278 6.617 7.250 6.933 7.278 ns
2mA GCLK
tco 1.795 2.018 2.703 2.852 3.121 3.130 3.066 2.995 3.095 3.130 3.066 ns
PLL
GCLK tco 3.638 3.968 5.848 6.083 6.688 6.528 6.873 6.252 6.844 6.528 6.873 ns
4mA GCLK
1.8 V tco 1.570 1.816 2.377 2.484 2.716 2.725 2.661 2.630 2.734 2.725 2.661 ns
PLL
GCLK tco 3.573 3.866 5.694 5.933 6.529 6.369 6.714 6.084 6.671 6.369 6.714 ns
6mA GCLK
tco 1.505 1.714 2.223 2.334 2.557 2.566 2.502 2.462 2.628 2.566 2.502 ns
PLL
GCLK tco 3.521 3.792 5.617 5.840 6.433 6.273 6.618 5.989 6.577 6.273 6.618 ns
8mA GCLK
tco 1.445 1.640 2.146 2.241 2.461 2.470 2.406 2.367 2.556 2.470 2.406 ns
PLL
GCLK tco 3.774 4.088 6.084 6.365 7.021 6.861 7.206 6.524 7.174 6.861 7.206 ns
2mA GCLK
tco 1.706 1.936 2.613 2.766 3.049 3.058 2.994 2.902 3.030 3.058 2.994 ns
PLL
GCLK tco 3.537 3.831 5.679 5.928 6.530 6.370 6.715 6.078 6.670 6.370 6.715 ns
4mA GCLK
1.5 V tco 1.464 1.679 2.208 2.329 2.558 2.567 2.503 2.456 2.629 2.567 2.503 ns
PLL
GCLK tco 3.510 3.783 5.606 5.832 6.424 6.264 6.609 5.980 6.565 6.264 6.609 ns
6mA GCLK
tco 1.437 1.631 2.135 2.233 2.452 2.461 2.397 2.358 2.556 2.461 2.397 ns
PLL
GCLK tco 3.496 3.774 5.584 5.814 6.405 6.245 6.590 5.962 6.543 6.245 6.590 ns
8mA GCLK
tco 1.428 1.622 2.113 2.215 2.433 2.442 2.378 2.340 2.537 2.442 2.378 ns
PLL
GCLK tco 3.717 4.013 5.994 6.279 6.946 6.786 7.131 6.436 7.090 6.786 7.131 ns
2mA GCLK
tco 1.649 1.861 2.523 2.680 2.974 2.983 2.919 2.814 2.961 2.983 2.919 ns
1.2 V PLL
GCLK tco 3.542 3.824 5.701 5.956 6.571 6.411 6.756 6.103 6.712 6.411 6.756 ns
4mA GCLK
tco 1.469 1.672 2.230 2.357 2.599 2.608 2.544 2.481 2.673 2.608 2.544 ns
PLL
GCLK tco 3.463 3.723 5.485 5.713 6.265 6.106 6.510 5.855 6.429 6.106 6.510 ns
8mA GCLK
SSTL-2 tco 1.383 1.561 2.006 2.114 2.306 2.319 2.245 2.220 2.444 2.319 2.245 ns
PLL
CLASS I
GCLK tco 3.458 3.719 5.482 5.705 6.263 6.104 6.502 5.854 6.422 6.104 6.502 ns
12mA GCLK
tco 1.378 1.555 1.999 2.106 2.298 2.311 2.237 2.219 2.437 2.311 2.237 ns
PLL
GCLK tco 3.449 3.708 5.467 5.680 6.246 6.087 6.475 5.837 6.395 6.087 6.475 ns
SSTL-2
16mA GCLK
CLASS II tco 1.369 1.544 1.984 2.081 2.271 2.284 2.210 2.202 2.413 2.284 2.210 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.474 3.748 5.512 5.727 6.306 6.146 6.491 5.870 6.440 6.146 6.491 ns
4mA GCLK
tco 1.406 1.596 2.041 2.128 2.334 2.343 2.279 2.248 2.447 2.343 2.279 ns
PLL
GCLK tco 3.465 3.734 5.509 5.725 6.304 6.144 6.489 5.868 6.438 6.144 6.489 ns
6mA GCLK
tco 1.391 1.582 2.038 2.126 2.332 2.341 2.277 2.246 2.445 2.341 2.277 ns
PLL
GCLK tco 3.454 3.722 5.492 5.708 6.287 6.127 6.472 5.857 6.422 6.127 6.472 ns
SSTL-18
8mA GCLK
CLASS I tco 1.380 1.570 2.021 2.109 2.315 2.324 2.260 2.229 2.436 2.324 2.260 ns
PLL
GCLK tco 3.443 3.699 5.476 5.692 6.272 6.112 6.457 5.845 6.407 6.112 6.457 ns
10mA GCLK
tco 1.363 1.547 2.005 2.093 2.300 2.309 2.245 2.214 2.424 2.309 2.245 ns
PLL
GCLK tco 3.443 3.698 5.475 5.691 6.271 6.111 6.456 5.844 6.406 6.111 6.456 ns
12mA GCLK
tco 1.363 1.546 2.004 2.092 2.299 2.308 2.244 2.213 2.424 2.308 2.244 ns
PLL
GCLK tco 3.451 3.707 5.473 5.687 6.265 6.105 6.450 5.840 6.399 6.105 6.450 ns
8mA GCLK
SSTL-18 tco 1.371 1.555 2.002 2.088 2.293 2.302 2.238 2.208 2.418 2.302 2.238 ns
PLL
CLASS II
GCLK tco 3.452 3.702 5.472 5.688 6.267 6.107 6.458 5.849 6.408 6.107 6.458 ns
16mA GCLK
tco 1.372 1.550 2.001 2.093 2.295 2.304 2.240 2.214 2.429 2.304 2.240 ns
PLL
GCLK tco 3.473 3.744 5.523 5.741 6.323 6.163 6.508 5.883 6.456 6.163 6.508 ns
4mA GCLK
tco 1.402 1.592 2.052 2.142 2.351 2.360 2.296 2.261 2.459 2.360 2.296 ns
PLL
SSTL-15 GCLK tco 3.459 3.722 5.505 5.724 6.306 6.146 6.491 5.869 6.440 6.146 6.491 ns
CLASS I 6mA GCLK
tco 1.379 1.570 2.034 2.125 2.334 2.343 2.279 2.245 2.450 2.343 2.279 ns
PLL
GCLK tco 3.448 3.705 5.488 5.706 6.288 6.128 6.473 5.856 6.423 6.128 6.473 ns
8mA GCLK
tco 1.368 1.553 2.017 2.107 2.316 2.325 2.261 2.227 2.437 2.325 2.261 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.458 3.720 5.479 5.692 6.268 6.108 6.453 5.839 6.402 6.108 6.453 ns
4mA GCLK
tco 1.381 1.568 2.008 2.093 2.296 2.305 2.241 2.212 2.415 2.305 2.241 ns
PLL
GCLK tco 3.451 3.708 5.470 5.684 6.260 6.100 6.445 5.838 6.395 6.100 6.445 ns
6mA GCLK
tco 1.371 1.556 1.999 2.085 2.288 2.297 2.233 2.204 2.415 2.297 2.233 ns
PLL
1.8-V
GCLK tco 3.442 3.696 5.462 5.675 6.252 6.092 6.438 5.831 6.387 6.092 6.438 ns
HSTL
CLASS I 8mA GCLK
tco 1.362 1.544 1.991 2.076 2.280 2.289 2.225 2.196 2.408 2.289 2.225 ns
PLL
GCLK tco 3.445 3.698 5.464 5.678 6.255 6.095 6.442 5.834 6.390 6.095 6.442 ns
10mA GCLK
tco 1.365 1.546 1.993 2.079 2.283 2.292 2.228 2.199 2.411 2.292 2.228 ns
PLL
GCLK tco 3.441 3.693 5.462 5.677 6.255 6.095 6.445 5.837 6.395 6.095 6.445 ns
12mA GCLK
tco 1.361 1.541 1.991 2.081 2.283 2.292 2.228 2.202 2.416 2.292 2.228 ns
PLL
1.8-V GCLK tco 3.449 3.697 5.457 5.669 6.246 6.086 6.441 5.833 6.389 6.086 6.441 ns
HSTL 16mA GCLK
CLASS II tco 1.369 1.545 1.986 2.078 2.274 2.283 2.219 2.198 2.410 2.283 2.219 ns
PLL
GCLK tco 3.464 3.727 5.490 5.705 6.283 6.123 6.468 5.848 6.416 6.123 6.468 ns
4mA GCLK
tco 1.388 1.575 2.019 2.106 2.311 2.320 2.256 2.224 2.426 2.320 2.256 ns
PLL
1.5-V
GCLK tco 3.458 3.717 5.486 5.701 6.279 6.119 6.464 5.850 6.413 6.119 6.464 ns
HSTL
CLASS I 6mA GCLK
tco 1.378 1.565 2.015 2.102 2.307 2.316 2.252 2.221 2.429 2.316 2.252 ns
PLL
GCLK tco 3.454 3.712 5.480 5.695 6.273 6.113 6.458 5.845 6.407 6.113 6.458 ns
8mA GCLK
tco 1.374 1.560 2.009 2.096 2.301 2.310 2.246 2.215 2.423 2.310 2.246 ns
PLL
GCLK tco 3.466 3.726 5.501 5.719 6.301 6.141 6.486 5.864 6.433 6.141 6.486 ns
4mA GCLK
tco 1.387 1.574 2.030 2.120 2.329 2.338 2.274 2.238 2.445 2.338 2.274 ns
PLL
1.2-V
GCLK tco 3.457 3.714 5.490 5.707 6.289 6.129 6.474 5.855 6.422 6.129 6.474 ns
HSTL
CLASS I 6mA GCLK
tco 1.377 1.562 2.019 2.108 2.317 2.326 2.262 2.227 2.436 2.326 2.262 ns
PLL
GCLK tco 3.456 3.712 5.494 5.712 6.295 6.135 6.480 5.864 6.429 6.135 6.480 ns
8mA GCLK
tco 1.376 1.560 2.023 2.113 2.323 2.332 2.268 2.233 2.446 2.332 2.268 ns
PLL
GCLK tco 3.569 3.829 5.537 5.731 6.305 6.146 6.519 5.903 6.453 6.146 6.519 ns
3.0-V PCI — GCLK
tco 1.489 1.665 2.054 2.132 2.315 2.328 2.267 2.268 2.474 2.328 2.267 ns
PLL
GCLK tco 3.569 3.829 5.537 5.731 6.305 6.146 6.519 5.903 6.453 6.146 6.519 ns
3.0-V
— GCLK
PCI-X tco 1.489 1.665 2.054 2.132 2.315 2.328 2.267 2.268 2.474 2.328 2.267 ns
PLL
Table 1–85 through Table 1–88 list the maximum I/O timing parameters for EP3SL200
devices for differential I/O standards.
Table 1–85 lists the EP3SL200 column pins input timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.153 -1.221 -1.879 -1.911 -2.067 -1.987 -2.459 -1.928 -2.084 -1.987 -2.459 ns
GCLK
th 1.289 1.376 2.114 2.150 2.330 2.237 2.711 2.177 2.355 2.237 2.711 ns
LVDS
GCLK tsu 1.103 1.122 1.882 1.986 2.207 2.099 2.096 1.998 2.217 2.099 2.096 ns
PLL th -0.824 -0.821 -1.403 -1.493 -1.659 -1.580 -1.562 -1.493 -1.659 -1.580 -1.562 ns
tsu -1.153 -1.221 -1.879 -1.911 -2.067 -1.987 -2.459 -1.928 -2.084 -1.987 -2.459 ns
GCLK
th 1.289 1.376 2.114 2.150 2.330 2.237 2.711 2.177 2.355 2.237 2.711 ns
MINI-LVDS
GCLK tsu 1.103 1.122 1.882 1.986 2.207 2.099 2.096 1.998 2.217 2.099 2.096 ns
PLL th -0.824 -0.821 -1.403 -1.493 -1.659 -1.580 -1.562 -1.493 -1.659 -1.580 -1.562 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
GCLK
th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
RSDS
GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
GCLK
DIFFERENTIAL th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
1.2-V HSTL
CLASS I GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
DIFFERENTIAL GCLK
th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.2-V HSTL
CLASS II GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
GCLK
DIFFERENTIAL th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.5-V HSTL
CLASS I GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
DIFFERENTIAL GCLK
th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
1.5-V HSTL
CLASS II GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
DIFFERENTIAL GCLK
th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
1.8-V HSTL
CLASS I GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
DIFFERENTIAL GCLK
th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.8-V HSTL
CLASS II GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
GCLK
DIFFERENTIAL th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.5-V SSTL
CLASS I GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.180 -1.250 -1.910 -1.938 -2.102 -2.024 -2.493 -1.954 -2.112 -2.024 -2.493 ns
DIFFERENTIAL GCLK
th 1.316 1.405 2.146 2.180 2.368 2.275 2.750 2.206 2.388 2.275 2.750 ns
1.5-V SSTL
CLASS II GCLK tsu 1.076 1.093 1.851 1.959 2.172 2.062 2.062 1.972 2.189 2.062 2.062 ns
PLL th -0.797 -0.792 -1.371 -1.463 -1.621 -1.542 -1.523 -1.464 -1.626 -1.542 -1.523 ns
tsu -1.180 -1.250 -1.910 -1.938 -2.102 -2.024 -2.493 -1.954 -2.112 -2.024 -2.493 ns
DIFFERENTIAL GCLK
th 1.316 1.405 2.146 2.180 2.368 2.275 2.750 2.206 2.388 2.275 2.750 ns
1.8-V SSTL
CLASS I GCLK tsu 1.076 1.093 1.851 1.959 2.172 2.062 2.062 1.972 2.189 2.062 2.062 ns
PLL th -0.797 -0.792 -1.371 -1.463 -1.621 -1.542 -1.523 -1.464 -1.626 -1.542 -1.523 ns
tsu -1.153 -1.221 -1.879 -1.911 -2.067 -1.987 -2.459 -1.928 -2.084 -1.987 -2.459 ns
GCLK
DIFFERENTIAL th 1.289 1.376 2.114 2.150 2.330 2.237 2.711 2.177 2.355 2.237 2.711 ns
1.8-V SSTL
CLASS II GCLK tsu 1.103 1.122 1.882 1.986 2.207 2.099 2.096 1.998 2.217 2.099 2.096 ns
PLL th -0.824 -0.821 -1.403 -1.493 -1.659 -1.580 -1.562 -1.493 -1.659 -1.580 -1.562 ns
tsu -1.153 -1.221 -1.879 -1.911 -2.067 -1.987 -2.459 -1.928 -2.084 -1.987 -2.459 ns
DIFFERENTIAL GCLK
th 1.289 1.376 2.114 2.150 2.330 2.237 2.711 2.177 2.355 2.237 2.711 ns
2.5-V SSTL
CLASS I GCLK tsu 1.103 1.122 1.882 1.986 2.207 2.099 2.096 1.998 2.217 2.099 2.096 ns
PLL th -0.824 -0.821 -1.403 -1.493 -1.659 -1.580 -1.562 -1.493 -1.659 -1.580 -1.562 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
GCLK
DIFFERENTIAL th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
2.5-V SSTL
CLASS II GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
Table 1–86 lists the EP3SL200 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.332 -1.401 -1.719 -1.648 -1.841 -1.769 -2.221 -1.630 -1.815 -1.769 -2.221 ns
GCLK
th 1.476 1.563 1.994 1.939 2.157 2.071 2.526 1.934 2.145 2.071 2.526 ns
LVDS
GCLK tsu 0.869 0.886 1.991 2.205 2.405 2.282 2.297 2.252 2.458 2.282 2.297 ns
PLL th -0.581 -0.578 -1.475 -1.662 -1.803 -1.711 -1.711 -1.692 -1.839 -1.711 -1.711 ns
tsu -1.332 -1.401 -1.719 -1.648 -1.841 -1.769 -2.221 -1.630 -1.815 -1.769 -2.221 ns
GCLK
th 1.476 1.563 1.994 1.939 2.157 2.071 2.526 1.934 2.145 2.071 2.526 ns
MINI-LVDS
GCLK tsu 0.869 0.886 1.991 2.205 2.405 2.282 2.297 2.252 2.458 2.282 2.297 ns
PLL th -0.581 -0.578 -1.475 -1.662 -1.803 -1.711 -1.711 -1.692 -1.839 -1.711 -1.711 ns
tsu -1.332 -1.401 -1.719 -1.648 -1.841 -1.769 -2.221 -1.630 -1.815 -1.769 -2.221 ns
GCLK
th 1.476 1.563 1.994 1.939 2.157 2.071 2.526 1.934 2.145 2.071 2.526 ns
RSDS
GCLK tsu 0.869 0.886 1.991 2.205 2.405 2.282 2.297 2.252 2.458 2.282 2.297 ns
PLL th -0.581 -0.578 -1.475 -1.662 -1.803 -1.711 -1.711 -1.692 -1.839 -1.711 -1.711 ns
tsu -1.137 -1.216 -1.849 -1.877 -2.030 -1.953 -2.400 -1.901 -2.052 -1.953 -2.400 ns
GCLK
th 1.274 1.369 2.088 2.122 2.297 2.206 2.656 2.156 2.330 2.206 2.656 ns
GCLK tsu 1.064 1.071 1.866 1.981 2.216 2.098 2.118 1.985 2.221 2.098 2.118 ns
DIFFERENTIAL PLL th -0.783 -0.772 -1.384 -1.483 -1.663 -1.576 -1.581 -1.474 -1.654 -1.576 -1.581 ns
1.2-V
HSTL CLASS I tsu -1.137 -1.216 -1.849 -1.877 -2.030 -1.953 -2.400 -1.901 -2.052 -1.953 -2.400 ns
GCLK
th 1.274 1.369 2.088 2.122 2.297 2.206 2.656 2.156 2.330 2.206 2.656 ns
GCLK tsu 1.064 1.071 1.866 1.981 2.216 2.098 2.118 1.985 2.221 2.098 2.118 ns
PLL th -0.783 -0.772 -1.384 -1.483 -1.663 -1.576 -1.581 -1.474 -1.654 -1.576 -1.581 ns
tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
DIFFERENTIAL PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
1.5-V
HSTL CLASS I tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
GCLK
th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
GCLK tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
DIFFERENTIAL PLL th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
1.8-V
HSTL CLASS I tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
GCLK
th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
GCLK tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
PLL th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
DIFFERENTIAL PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
1.5-V
SSTL CLASS I tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
GCLK
th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
GCLK tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
DIFFERENTIAL PLL th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
1.8-V
SSTL CLASS I tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
GCLK
th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
GCLK tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
PLL th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
tsu -1.169 -1.249 -1.881 -1.908 -2.071 -1.995 -2.440 -1.928 -2.086 -1.995 -2.440 ns
GCLK
th 1.306 1.402 2.121 2.156 2.341 2.250 2.701 2.186 2.369 2.250 2.701 ns
GCLK tsu 1.032 1.038 1.829 1.945 2.171 2.052 2.073 1.954 2.182 2.052 2.073 ns
DIFFERENTIAL PLL th -0.751 -0.739 -1.348 -1.445 -1.615 -1.528 -1.532 -1.440 -1.612 -1.528 -1.532 ns
2.5-V
SSTL CLASS I tsu -1.169 -1.249 -1.881 -1.908 -2.071 -1.995 -2.440 -1.928 -2.086 -1.995 -2.440 ns
GCLK
th 1.306 1.402 2.121 2.156 2.341 2.250 2.701 2.186 2.369 2.250 2.701 ns
GCLK tsu 1.032 1.038 1.829 1.945 2.171 2.052 2.073 1.954 2.182 2.052 2.073 ns
PLL th -0.751 -0.739 -1.348 -1.445 -1.615 -1.528 -1.532 -1.440 -1.612 -1.528 -1.532 ns
Table 1–87 lists the EP3SL200 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
LVDS_E_1R — GCLK
tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
LVDS_E_3R — GCLK
tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
MINI-
— GCLK
LVDS_E_1R tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
GCLK tco 3.506 3.783 5.577 5.790 6.354 6.190 6.597 5.934 6.497 6.190 6.597 ns
MINI-
— GCLK
LVDS_E_3R tco 3.496 3.773 5.567 5.779 6.344 6.180 6.587 5.923 6.487 6.180 6.587 ns
PLL
GCLK tco 3.496 3.773 5.570 5.783 6.348 6.184 6.591 5.928 6.492 6.184 6.591 ns
RSDS_E_1R — GCLK
tco 3.489 3.767 5.563 5.777 6.342 6.178 6.585 5.921 6.486 6.178 6.585 ns
PLL
GCLK tco 3.488 3.765 5.560 5.774 6.339 6.175 6.582 5.918 6.482 6.175 6.582 ns
RSDS_E_3R — GCLK
tco 3.510 3.787 5.581 5.794 6.358 6.194 6.601 5.938 6.502 6.194 6.601 ns
PLL
GCLK tco 3.500 3.776 5.560 5.771 6.333 6.169 6.576 5.914 6.475 6.169 6.576 ns
4mA GCLK
tco 3.495 3.772 5.560 5.771 6.334 6.170 6.577 5.915 6.477 6.170 6.577 ns
PLL
GCLK tco 3.493 3.770 5.559 5.770 6.332 6.168 6.575 5.914 6.476 6.168 6.575 ns
6mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
DIFFERENTIAL
GCLK tco 3.486 3.763 5.555 5.767 6.331 6.167 6.574 5.912 6.475 6.167 6.574 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 3.485 3.760 5.538 5.748 6.309 6.145 6.552 5.891 6.451 6.145 6.552 ns
PLL
GCLK tco 3.497 3.773 5.556 5.766 6.327 6.163 6.570 5.910 6.470 6.163 6.570 ns
10mA GCLK
tco 3.493 3.770 5.557 5.768 6.331 6.167 6.574 5.912 6.474 6.167 6.574 ns
PLL
GCLK tco 3.483 3.759 5.546 5.757 6.319 6.155 6.562 5.901 6.462 6.155 6.562 ns
12mA GCLK
tco 3.481 3.757 5.544 5.754 6.317 6.153 6.560 5.899 6.460 6.153 6.560 ns
PLL
DIFFERENTIAL GCLK tco 3.481 3.758 5.547 5.759 6.322 6.158 6.565 5.903 6.466 6.158 6.565 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 3.485 3.761 5.544 5.754 6.316 6.152 6.559 5.898 6.459 6.152 6.559 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.511 3.790 5.589 5.802 6.366 6.202 6.609 5.946 6.509 6.202 6.609 ns
4mA GCLK
tco 3.497 3.776 5.577 5.791 6.356 6.192 6.599 5.936 6.500 6.192 6.599 ns
PLL
GCLK tco 3.485 3.763 5.560 5.773 6.338 6.174 6.581 5.918 6.482 6.174 6.581 ns
6mA GCLK
tco 3.485 3.763 5.563 5.777 6.342 6.178 6.585 5.922 6.487 6.178 6.585 ns
PLL
DIFFERENTIAL
GCLK tco 3.481 3.759 5.556 5.769 6.335 6.171 6.578 5.915 6.479 6.171 6.578 ns
1.5-V HSTL
CLASS I 8mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
GCLK tco 3.486 3.763 5.557 5.770 6.334 6.170 6.577 5.914 6.478 6.170 6.577 ns
10mA GCLK
tco 3.514 3.793 5.588 5.800 6.364 6.200 6.607 5.945 6.507 6.200 6.607 ns
PLL
GCLK tco 3.503 3.781 5.576 5.788 6.352 6.188 6.595 5.933 6.495 6.188 6.595 ns
12mA GCLK
tco 3.498 3.777 5.576 5.789 6.353 6.189 6.596 5.934 6.497 6.189 6.596 ns
PLL
DIFFERENTIAL GCLK tco 3.484 3.762 5.558 5.770 6.334 6.170 6.577 5.915 6.479 6.170 6.577 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 3.482 3.760 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
GCLK tco 3.486 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
4mA GCLK
tco 3.486 3.763 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
GCLK tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
6mA GCLK
tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
PLL
DIFFERENTIAL
1.8-V HSTL
GCLK tco 3.492 3.770 5.562 5.773 6.336 6.172 6.579 5.918 6.480 6.172 6.579 ns
CLASS I 8mA GCLK
tco 3.485 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
PLL
GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
10mA GCLK
tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
12mA GCLK
tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
DIFFERENTIAL GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.506 3.783 5.577 5.790 6.354 6.190 6.597 5.934 6.497 6.190 6.597 ns
4mA GCLK
tco 3.496 3.773 5.567 5.779 6.344 6.180 6.587 5.923 6.487 6.180 6.587 ns
PLL
GCLK tco 3.496 3.773 5.570 5.783 6.348 6.184 6.591 5.928 6.492 6.184 6.591 ns
6mA GCLK
tco 3.489 3.767 5.563 5.777 6.342 6.178 6.585 5.921 6.486 6.178 6.585 ns
PLL
DIFFERENTIAL
GCLK tco 3.488 3.765 5.560 5.774 6.339 6.175 6.582 5.918 6.482 6.175 6.582 ns
1.5-V SSTL
CLASS I 8mA GCLK
tco 3.510 3.787 5.581 5.794 6.358 6.194 6.601 5.938 6.502 6.194 6.601 ns
PLL
GCLK tco 3.500 3.776 5.560 5.771 6.333 6.169 6.576 5.914 6.475 6.169 6.576 ns
10mA GCLK
tco 3.495 3.772 5.560 5.771 6.334 6.170 6.577 5.915 6.477 6.170 6.577 ns
PLL
GCLK tco 3.493 3.770 5.559 5.770 6.332 6.168 6.575 5.914 6.476 6.168 6.575 ns
12mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
GCLK tco 3.486 3.763 5.555 5.767 6.331 6.167 6.574 5.912 6.475 6.167 6.574 ns
DIFFERENTIAL 8mA GCLK
1.5-V SSTL tco 3.485 3.760 5.538 5.748 6.309 6.145 6.552 5.891 6.451 6.145 6.552 ns
PLL
CLASS II GCLK tco 3.497 3.773 5.556 5.766 6.327 6.163 6.570 5.910 6.470 6.163 6.570 ns
16mA GCLK
tco 3.493 3.770 5.557 5.768 6.331 6.167 6.574 5.912 6.474 6.167 6.574 ns
PLL
GCLK tco 3.483 3.759 5.546 5.757 6.319 6.155 6.562 5.901 6.462 6.155 6.562 ns
4mA GCLK
tco 3.481 3.757 5.544 5.754 6.317 6.153 6.560 5.899 6.460 6.153 6.560 ns
PLL
GCLK tco 3.481 3.758 5.547 5.759 6.322 6.158 6.565 5.903 6.466 6.158 6.565 ns
6mA GCLK
tco 3.485 3.761 5.544 5.754 6.316 6.152 6.559 5.898 6.459 6.152 6.559 ns
PLL
DIFFERENTIAL
GCLK tco 3.511 3.790 5.589 5.802 6.366 6.202 6.609 5.946 6.509 6.202 6.609 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 3.497 3.776 5.577 5.791 6.356 6.192 6.599 5.936 6.500 6.192 6.599 ns
PLL
GCLK tco 3.485 3.763 5.560 5.773 6.338 6.174 6.581 5.918 6.482 6.174 6.581 ns
10mA GCLK
tco 3.485 3.763 5.563 5.777 6.342 6.178 6.585 5.922 6.487 6.178 6.585 ns
PLL
GCLK tco 3.481 3.759 5.556 5.769 6.335 6.171 6.578 5.915 6.479 6.171 6.578 ns
12mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
GCLK tco 3.486 3.763 5.557 5.770 6.334 6.170 6.577 5.914 6.478 6.170 6.577 ns
DIFFERENTIAL 8mA GCLK
tco 3.514 3.793 5.588 5.800 6.364 6.200 6.607 5.945 6.507 6.200 6.607 ns
1.8-V SSTL PLL
CLASS II GCLK tco 3.503 3.781 5.576 5.788 6.352 6.188 6.595 5.933 6.495 6.188 6.595 ns
16mA GCLK
tco 3.498 3.777 5.576 5.789 6.353 6.189 6.596 5.934 6.497 6.189 6.596 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.484 3.762 5.558 5.770 6.334 6.170 6.577 5.915 6.479 6.170 6.577 ns
8mA GCLK
tco 3.482 3.760 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
DIFFERENTIAL
GCLK tco 3.486 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
2.5-V SSTL
CLASS I 10mA GCLK
tco 3.486 3.763 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
GCLK tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
12mA GCLK
tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
PLL
DIFFERENTIAL GCLK tco 3.492 3.770 5.562 5.773 6.336 6.172 6.579 5.918 6.480 6.172 6.579 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 3.485 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
PLL
Table 1–88 lists the EP3SL200 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
LVDS — GCLK
tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
LVDS_E_1R — GCLK
tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
PLL
GCLK tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
LVDS_E_3R — GCLK
tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
PLL
GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
MINI-LVDS — GCLK
tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
MINI-
— GCLK
LVDS_E_1R tco 3.562 3.845 5.678 5.894 6.468 6.301 6.680 6.046 6.621 6.301 6.680 ns
PLL
GCLK tco 3.548 3.831 5.665 5.881 6.455 6.288 6.667 6.032 6.608 6.288 6.667 ns
MINI-
— GCLK
LVDS_E_3R tco 3.544 3.827 5.663 5.881 6.456 6.289 6.668 6.032 6.610 6.289 6.668 ns
PLL
GCLK tco 3.560 3.842 5.664 5.878 6.450 6.283 6.662 6.029 6.603 6.283 6.662 ns
RSDS — GCLK
tco 3.549 3.832 5.660 5.874 6.447 6.280 6.659 6.026 6.600 6.280 6.659 ns
PLL
GCLK tco 3.546 3.829 5.658 5.872 6.445 6.278 6.657 6.024 6.599 6.278 6.657 ns
RSDS_E_1R — GCLK
tco 3.557 3.839 5.659 5.873 6.444 6.277 6.656 6.023 6.597 6.277 6.656 ns
PLL
GCLK tco 3.547 3.830 5.657 5.871 6.443 6.276 6.655 6.023 6.597 6.276 6.655 ns
RSDS_E_3R — GCLK
tco 3.533 3.816 5.642 5.856 6.429 6.262 6.641 6.008 6.582 6.262 6.641 ns
PLL
GCLK tco 3.530 3.812 5.638 5.852 6.425 6.258 6.637 6.004 6.578 6.258 6.637 ns
4mA GCLK
tco 3.527 3.810 5.639 5.855 6.428 6.261 6.640 6.007 6.582 6.261 6.640 ns
PLL
DIFFERENTIAL GCLK tco 3.528 3.810 5.629 5.843 6.415 6.248 6.627 5.994 6.568 6.248 6.627 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 3.577 3.863 5.700 5.916 6.491 6.324 6.703 6.068 6.644 6.324 6.703 ns
PLL
GCLK tco 3.553 3.839 5.682 5.899 6.474 6.307 6.686 6.051 6.629 6.307 6.686 ns
8mA GCLK
tco 3.535 3.820 5.660 5.877 6.452 6.285 6.664 6.029 6.607 6.285 6.664 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.581 3.866 5.700 5.916 6.490 6.323 6.702 6.068 6.644 6.323 6.702 ns
4mA GCLK
tco 3.566 3.851 5.686 5.901 6.475 6.308 6.687 6.053 6.629 6.308 6.687 ns
PLL
DIFFERENTIAL GCLK tco 3.555 3.840 5.681 5.898 6.472 6.305 6.684 6.050 6.627 6.305 6.684 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 3.535 3.820 5.658 5.874 6.449 6.282 6.661 6.027 6.603 6.282 6.661 ns
PLL
GCLK tco 3.532 3.816 5.654 5.871 6.445 6.278 6.657 6.023 6.600 6.278 6.657 ns
8mA GCLK
tco 3.537 3.820 5.645 5.859 6.431 6.264 6.643 6.010 6.584 6.264 6.643 ns
PLL
GCLK tco 3.530 3.813 5.644 5.860 6.434 6.267 6.646 6.013 6.589 6.267 6.646 ns
4mA GCLK
tco 3.568 3.852 5.682 5.897 6.470 6.303 6.682 6.049 6.624 6.303 6.682 ns
PLL
GCLK tco 3.550 3.835 5.667 5.882 6.455 6.288 6.667 6.034 6.609 6.288 6.667 ns
6mA GCLK
tco 3.536 3.819 5.644 5.858 6.430 6.263 6.642 6.010 6.584 6.263 6.642 ns
PLL
GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
8mA GCLK
DIFFERENTIAL tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
1.8-V
HSTL CLASS I GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
10mA GCLK
tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
PLL
GCLK tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
12mA GCLK
tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
PLL
GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
16mA GCLK
tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
4mA GCLK
tco 3.562 3.845 5.678 5.894 6.468 6.301 6.680 6.046 6.621 6.301 6.680 ns
PLL
DIFFERENTIAL GCLK tco 3.548 3.831 5.665 5.881 6.455 6.288 6.667 6.032 6.608 6.288 6.667 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 3.544 3.827 5.663 5.881 6.456 6.289 6.668 6.032 6.610 6.289 6.668 ns
PLL
GCLK tco 3.560 3.842 5.664 5.878 6.450 6.283 6.662 6.029 6.603 6.283 6.662 ns
8mA GCLK
tco 3.549 3.832 5.660 5.874 6.447 6.280 6.659 6.026 6.600 6.280 6.659 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.546 3.829 5.658 5.872 6.445 6.278 6.657 6.024 6.599 6.278 6.657 ns
4mA GCLK
tco 3.557 3.839 5.659 5.873 6.444 6.277 6.656 6.023 6.597 6.277 6.656 ns
PLL
GCLK tco 3.547 3.830 5.657 5.871 6.443 6.276 6.655 6.023 6.597 6.276 6.655 ns
6mA GCLK
tco 3.533 3.816 5.642 5.856 6.429 6.262 6.641 6.008 6.582 6.262 6.641 ns
PLL
GCLK tco 3.530 3.812 5.638 5.852 6.425 6.258 6.637 6.004 6.578 6.258 6.637 ns
8mA GCLK
tco 3.527 3.810 5.639 5.855 6.428 6.261 6.640 6.007 6.582 6.261 6.640 ns
PLL
DIFFERENTIAL GCLK tco 3.528 3.810 5.629 5.843 6.415 6.248 6.627 5.994 6.568 6.248 6.627 ns
1.8-V 10mA GCLK
SSTL CLASS I tco 3.577 3.863 5.700 5.916 6.491 6.324 6.703 6.068 6.644 6.324 6.703 ns
PLL
GCLK tco 3.553 3.839 5.682 5.899 6.474 6.307 6.686 6.051 6.629 6.307 6.686 ns
12mA GCLK
tco 3.535 3.820 5.660 5.877 6.452 6.285 6.664 6.029 6.607 6.285 6.664 ns
PLL
GCLK tco 3.581 3.866 5.700 5.916 6.490 6.323 6.702 6.068 6.644 6.323 6.702 ns
8mA GCLK
tco 3.566 3.851 5.686 5.901 6.475 6.308 6.687 6.053 6.629 6.308 6.687 ns
PLL
GCLK tco 3.555 3.840 5.681 5.898 6.472 6.305 6.684 6.050 6.627 6.305 6.684 ns
16mA GCLK
tco 3.535 3.820 5.658 5.874 6.449 6.282 6.661 6.027 6.603 6.282 6.661 ns
PLL
GCLK tco 3.532 3.816 5.654 5.871 6.445 6.278 6.657 6.023 6.600 6.278 6.657 ns
8mA GCLK
DIFFERENTIAL tco 3.537 3.820 5.645 5.859 6.431 6.264 6.643 6.010 6.584 6.264 6.643 ns
PLL
2.5-V
SSTL CLASS I GCLK tco 3.530 3.813 5.644 5.860 6.434 6.267 6.646 6.013 6.589 6.267 6.646 ns
12mA GCLK
tco 3.568 3.852 5.682 5.897 6.470 6.303 6.682 6.049 6.624 6.303 6.682 ns
PLL
DIFFERENTIAL GCLK tco 3.550 3.835 5.667 5.882 6.455 6.288 6.667 6.034 6.609 6.288 6.667 ns
2.5-V 16mA GCLK
SSTL CLASS II tco 3.536 3.819 5.644 5.858 6.430 6.263 6.642 6.010 6.584 6.263 6.642 ns
PLL
Table 1–89 and Table 1–90 list the EP3SL200 regional clock (RCLK) adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–89 lists the EP3SL200 column pin delay adders when using the regional clock.
Table 1–89. EP3SL200 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.204 0.235 0.378 0.378 0.403 0.392 0.509 0.387 0.411 0.392 0.509 ns
RCLK PLL input adder 0.036 0.046 0.078 0.078 -0.047 -0.038 -0.036 0.085 -0.046 -0.038 -0.036 ns
RCLK output adder -0.211 -0.234 -0.332 -0.328 -0.34 -0.334 -0.464 -0.327 -0.339 -0.334 -0.464 ns
RCLK PLL output adder 1.904 1.965 3.193 3.323 3.688 3.496 3.804 3.351 3.716 3.496 3.804 ns
Table 1–90 lists the EP3SL200 row pin delay adders when using the regional clock.
Table 1–90. EP3SL200 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.272 0.301 0.446 0.424 0.473 0.46 0.547 0.454 0.481 0.46 0.547 ns
RCLK PLL input adder 0.14 0.149 0.226 0.216 0.235 0.226 0.306 0.232 0.254 0.226 0.306 ns
RCLK output adder -0.278 -0.306 -0.418 -0.434 -0.486 -0.472 -0.592 -0.464 -0.493 -0.472 -0.592 ns
RCLK PLL output adder -0.15 -0.15 -0.227 -0.233 -0.254 -0.243 -0.322 -0.243 -0.258 -0.243 -0.322 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.356 -1.346 -2.016 -2.063 -2.329 -2.244 -2.541 -2.063 -2.329 -2.244 -2.541 ns
GCLK
th 1.497 1.487 2.231 2.286 2.573 2.475 2.782 2.286 2.573 2.475 2.782 ns
3.0-V LVTTL
GCLK tsu -1.702 -1.702 -2.553 -2.587 -2.889 -2.798 -3.372 -2.587 -2.889 -2.798 -3.372 ns
PLL th 2.007 2.007 3.030 3.083 3.433 3.312 3.911 3.083 3.433 3.312 3.911 ns
tsu -1.356 -1.346 -2.016 -2.063 -2.329 -2.244 -2.541 -2.063 -2.329 -2.244 -2.541 ns
GCLK
3.0-V th 1.497 1.487 2.231 2.286 2.573 2.475 2.782 2.286 2.573 2.475 2.782 ns
LVCMOS tsu -1.702 -1.702 -2.553 -2.587 -2.889 -2.798 -3.372 -2.587 -2.889 -2.798 -3.372 ns
GCLK
PLL th 2.007 2.007 3.030 3.083 3.433 3.312 3.911 3.083 3.433 3.312 3.911 ns
tsu -1.351 -1.341 -2.025 -2.075 -2.348 -2.263 -2.560 -2.075 -2.348 -2.263 -2.560 ns
GCLK
th 1.492 1.482 2.240 2.298 2.592 2.494 2.801 2.298 2.592 2.494 2.801 ns
2.5 V
GCLK tsu -1.697 -1.697 -2.562 -2.599 -2.908 -2.817 -3.391 -2.599 -2.908 -2.817 -3.391 ns
PLL th 2.002 2.002 3.039 3.095 3.452 3.331 3.930 3.095 3.452 3.331 3.930 ns
tsu -1.373 -1.363 -2.065 -2.111 -2.346 -2.261 -2.558 -2.111 -2.346 -2.261 -2.558 ns
GCLK
th 1.516 1.506 2.280 2.334 2.590 2.492 2.799 2.334 2.590 2.492 2.799 ns
1.8 V
GCLK tsu -1.719 -1.719 -2.602 -2.635 -2.906 -2.815 -3.389 -2.635 -2.906 -2.815 -3.389 ns
PLL th 2.026 2.026 3.079 3.131 3.450 3.329 3.928 3.131 3.450 3.329 3.928 ns
tsu -1.363 -1.353 -2.042 -2.079 -2.276 -2.191 -2.488 -2.079 -2.276 -2.191 -2.488 ns
GCLK
th 1.506 1.496 2.257 2.302 2.520 2.422 2.729 2.302 2.520 2.422 2.729 ns
1.5 V
GCLK tsu -1.709 -1.709 -2.579 -2.603 -2.836 -2.745 -3.319 -2.603 -2.836 -2.745 -3.319 ns
PLL th 2.016 2.016 3.056 3.099 3.380 3.259 3.858 3.099 3.380 3.259 3.858 ns
tsu -1.311 -1.301 -1.965 -1.980 -2.120 -2.035 -2.332 -1.980 -2.120 -2.035 -2.332 ns
GCLK
th 1.454 1.444 2.180 2.203 2.364 2.266 2.573 2.203 2.364 2.266 2.573 ns
1.2 V
GCLK tsu -1.657 -1.657 -2.502 -2.504 -2.680 -2.589 -3.163 -2.504 -2.680 -2.589 -3.163 ns
PLL th 1.964 1.964 2.979 3.000 3.224 3.103 3.702 3.000 3.224 3.103 3.702 ns
tsu -1.282 -1.272 -1.937 -1.964 -2.122 -2.037 -2.334 -1.964 -2.122 -2.037 -2.334 ns
GCLK
SSTL-2 th 1.425 1.415 2.152 2.187 2.366 2.268 2.575 2.187 2.366 2.268 2.575 ns
CLASS I tsu -1.628 -1.628 -2.474 -2.488 -2.682 -2.591 -3.165 -2.488 -2.682 -2.591 -3.165 ns
GCLK
PLL th 1.935 1.935 2.951 2.984 3.226 3.105 3.704 2.984 3.226 3.105 3.704 ns
tsu -1.282 -1.272 -1.937 -1.964 -2.122 -2.037 -2.334 -1.964 -2.122 -2.037 -2.334 ns
GCLK
SSTL-2 th 1.425 1.415 2.152 2.187 2.366 2.268 2.575 2.187 2.366 2.268 2.575 ns
CLASS II tsu -1.628 -1.628 -2.474 -2.488 -2.682 -2.591 -3.165 -2.488 -2.682 -2.591 -3.165 ns
GCLK
PLL th 1.935 1.935 2.951 2.984 3.226 3.105 3.704 2.984 3.226 3.105 3.704 ns
tsu -1.276 -1.266 -1.924 -1.956 -2.119 -2.032 -2.335 -1.956 -2.119 -2.032 -2.335 ns
GCLK
SSTL-18 th 1.419 1.409 2.139 2.176 2.360 2.262 2.571 2.176 2.360 2.262 2.571 ns
CLASS I tsu -1.622 -1.622 -2.461 -2.480 -2.679 -2.586 -3.163 -2.480 -2.679 -2.586 -3.163 ns
GCLK
PLL th 1.929 1.929 2.938 2.973 3.220 3.099 3.697 2.973 3.220 3.099 3.697 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
SSTL-18 th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS II tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
SSTL-15 th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS I tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
1.8-V HSTL th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS I tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
1.8-V HSTL th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS II tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
1.5-V HSTL th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS I tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
1.5-V HSTL th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS II tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
1.2-V HSTL th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS I tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
1.2-V HSTL th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
CLASS II tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
GCLK
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
tsu -1.397 -1.354 -2.096 -2.121 -2.299 -2.366 -2.766 -2.321 -2.476 -2.366 -2.766 ns
GCLK
th 1.530 1.508 2.327 2.361 2.563 2.611 3.023 2.566 2.745 2.611 3.023 ns
3.0-V PCI
GCLK tsu 0.785 0.779 1.380 1.479 1.654 1.670 1.679 1.480 1.660 1.670 1.679 ns
PLL th -0.510 -0.485 -0.912 -0.992 -1.113 -1.156 -1.144 -0.982 -1.107 -1.156 -1.144 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.356 -1.346 -2.016 -2.063 -2.329 -2.244 -2.541 -2.063 -2.329 -2.244 -2.541 ns
GCLK
3.0-V th 1.497 1.487 2.231 2.286 2.573 2.475 2.782 2.286 2.573 2.475 2.782 ns
PCI-X tsu -1.702 -1.702 -2.553 -2.587 -2.889 -2.798 -3.372 -2.587 -2.889 -2.798 -3.372 ns
GCLK
PLL th 2.007 2.007 3.030 3.083 3.433 3.312 3.911 3.083 3.433 3.312 3.911 ns
Table 1–92 lists the EP3SL340 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.241 -1.332 -1.956 -1.963 -2.136 -2.070 -2.514 -1.972 -2.143 -2.088 -2.556 ns
GCLK
th 1.367 1.471 2.169 2.184 2.379 2.301 2.749 2.203 2.396 2.328 2.794 ns
1.5 V
GCLK tsu 0.837 0.921 1.648 1.666 1.925 1.810 1.633 1.651 1.951 1.827 1.684 ns
PLL th -0.572 -0.635 -1.194 -1.196 -1.398 -1.314 -1.128 -1.171 -1.412 -1.320 -1.172 ns
tsu -1.181 -1.279 -1.877 -1.862 -1.977 -1.911 -2.355 -1.876 -1.988 -1.933 -2.401 ns
GCLK
th 1.307 1.418 2.090 2.083 2.220 2.142 2.590 2.107 2.241 2.173 2.639 ns
1.2 V
GCLK tsu 0.897 0.974 1.727 1.767 2.084 1.969 1.792 1.747 2.106 1.982 1.839 ns
PLL th -0.632 -0.688 -1.273 -1.297 -1.557 -1.473 -1.287 -1.267 -1.567 -1.475 -1.327 ns
tsu -1.176 -1.242 -1.845 -1.861 -1.997 -1.933 -2.385 -1.869 -2.002 -1.940 -2.320 ns
GCLK
SSTL-2 th 1.300 1.382 2.057 2.082 2.240 2.164 2.620 2.101 2.254 2.180 2.561 ns
CLASS I tsu 0.942 1.000 1.750 1.782 1.988 1.882 1.891 1.793 2.009 1.897 1.948 ns
GCLK
PLL th -0.677 -0.714 -1.296 -1.312 -1.465 -1.390 -1.380 -1.312 -1.475 -1.395 -1.433 ns
tsu -1.176 -1.242 -1.845 -1.861 -1.997 -1.933 -2.385 -1.869 -2.002 -1.940 -2.320 ns
GCLK
SSTL-2 th 1.300 1.382 2.057 2.082 2.240 2.164 2.620 2.101 2.254 2.180 2.561 ns
CLASS II tsu 0.942 1.000 1.750 1.782 1.988 1.882 1.891 1.793 2.009 1.897 1.948 ns
GCLK
PLL th -0.677 -0.714 -1.296 -1.312 -1.465 -1.390 -1.380 -1.312 -1.475 -1.395 -1.433 ns
tsu -1.155 -1.244 -1.839 -1.835 -1.972 -1.905 -2.351 -1.844 -1.981 -1.925 -2.394 ns
GCLK
SSTL-18 th 1.281 1.383 2.052 2.054 2.213 2.135 2.582 2.073 2.231 2.164 2.628 ns
CLASS I tsu 0.923 1.009 1.765 1.794 2.086 1.972 1.796 1.779 2.110 1.988 1.846 ns
GCLK
PLL th -0.658 -0.723 -1.311 -1.326 -1.562 -1.478 -1.295 -1.301 -1.574 -1.482 -1.338 ns
tsu -1.155 -1.244 -1.839 -1.835 -1.972 -1.905 -2.351 -1.844 -1.981 -1.925 -2.394 ns
GCLK
SSTL-18 th 1.281 1.383 2.052 2.054 2.213 2.135 2.582 2.073 2.231 2.164 2.628 ns
CLASS II tsu 0.923 1.009 1.765 1.794 2.086 1.972 1.796 1.779 2.110 1.988 1.846 ns
GCLK
PLL th -0.658 -0.723 -1.311 -1.326 -1.562 -1.478 -1.295 -1.301 -1.574 -1.482 -1.338 ns
tsu -1.141 -1.232 -1.824 -1.825 -1.954 -1.887 -2.333 -1.833 -1.964 -1.908 -2.377 ns
GCLK
SSTL-15 th 1.267 1.371 2.038 2.044 2.195 2.117 2.564 2.062 2.214 2.147 2.611 ns
CLASS I tsu 0.937 1.021 1.778 1.804 2.104 1.990 1.814 1.790 2.127 2.005 1.863 ns
GCLK
PLL th -0.672 -0.735 -1.323 -1.336 -1.580 -1.496 -1.313 -1.312 -1.591 -1.499 -1.355 ns
tsu -1.155 -1.244 -1.839 -1.835 -1.972 -1.905 -2.351 -1.844 -1.981 -1.925 -2.394 ns
GCLK
1.8-V HSTL th 1.281 1.383 2.052 2.054 2.213 2.135 2.582 2.073 2.231 2.164 2.628 ns
CLASS I tsu 0.923 1.009 1.765 1.794 2.086 1.972 1.796 1.779 2.110 1.988 1.846 ns
GCLK
PLL th -0.658 -0.723 -1.311 -1.326 -1.562 -1.478 -1.295 -1.301 -1.574 -1.482 -1.338 ns
tsu -1.155 -1.244 -1.839 -1.835 -1.972 -1.905 -2.351 -1.844 -1.981 -1.925 -2.394 ns
GCLK
1.8-V HSTL th 1.281 1.383 2.052 2.054 2.213 2.135 2.582 2.073 2.231 2.164 2.628 ns
CLASS II tsu 0.923 1.009 1.765 1.794 2.086 1.972 1.796 1.779 2.110 1.988 1.846 ns
GCLK
PLL th -0.658 -0.723 -1.311 -1.326 -1.562 -1.478 -1.295 -1.301 -1.574 -1.482 -1.338 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.141 -1.232 -1.824 -1.825 -1.954 -1.887 -2.333 -1.833 -1.964 -1.908 -2.377 ns
GCLK
1.5-V HSTL th 1.267 1.371 2.038 2.044 2.195 2.117 2.564 2.062 2.214 2.147 2.611 ns
CLASS I tsu 0.937 1.021 1.778 1.804 2.104 1.990 1.814 1.790 2.127 2.005 1.863 ns
GCLK
PLL th -0.672 -0.735 -1.323 -1.336 -1.580 -1.496 -1.313 -1.312 -1.591 -1.499 -1.355 ns
tsu -1.141 -1.232 -1.824 -1.825 -1.954 -1.887 -2.333 -1.833 -1.964 -1.908 -2.377 ns
GCLK
1.5-V HSTL th 1.267 1.371 2.038 2.044 2.195 2.117 2.564 2.062 2.214 2.147 2.611 ns
CLASS II tsu 0.937 1.021 1.778 1.804 2.104 1.990 1.814 1.790 2.127 2.005 1.863 ns
GCLK
PLL th -0.672 -0.735 -1.323 -1.336 -1.580 -1.496 -1.313 -1.312 -1.591 -1.499 -1.355 ns
tsu -1.132 -1.220 -1.815 -1.815 -1.938 -1.871 -2.317 -1.824 -1.948 -1.892 -2.361 ns
GCLK
1.2-V HSTL th 1.258 1.359 2.029 2.034 2.179 2.101 2.548 2.053 2.198 2.131 2.595 ns
CLASS I tsu 0.946 1.033 1.787 1.814 2.120 2.006 1.830 1.799 2.143 2.021 1.879 ns
GCLK
PLL th -0.681 -0.747 -1.332 -1.346 -1.596 -1.512 -1.329 -1.321 -1.607 -1.515 -1.371 ns
tsu -1.132 -1.220 -1.815 -1.815 -1.938 -1.871 -2.317 -1.824 -1.948 -1.892 -2.361 ns
GCLK
1.2-V HSTL th 1.258 1.359 2.029 2.034 2.179 2.101 2.548 2.053 2.198 2.131 2.595 ns
CLASS II tsu 0.946 1.033 1.787 1.814 2.120 2.006 1.830 1.799 2.143 2.021 1.879 ns
GCLK
PLL th -0.681 -0.747 -1.332 -1.346 -1.596 -1.512 -1.329 -1.321 -1.607 -1.515 -1.371 ns
tsu -1.245 -1.307 -1.922 -1.957 -2.202 -2.138 -2.590 -1.972 -2.209 -2.147 -2.527 ns
GCLK
th 1.368 1.446 2.134 2.178 2.445 2.369 2.825 2.204 2.461 2.387 2.768 ns
3.0-V PCI
GCLK tsu 0.873 0.934 1.673 1.686 1.783 1.677 1.686 1.690 1.802 1.690 1.741 ns
PLL th -0.609 -0.649 -1.219 -1.216 -1.260 -1.185 -1.175 -1.209 -1.268 -1.188 -1.226 ns
tsu -1.245 -1.307 -1.922 -1.957 -2.202 -2.138 -2.590 -1.972 -2.209 -2.147 -2.527 ns
GCLK
3.0-V th 1.368 1.446 2.134 2.178 2.445 2.369 2.825 2.204 2.461 2.387 2.768 ns
PCI-X tsu 0.873 0.934 1.673 1.686 1.783 1.677 1.686 1.690 1.802 1.690 1.741 ns
GCLK
PLL th -0.609 -0.649 -1.219 -1.216 -1.260 -1.185 -1.175 -1.209 -1.268 -1.188 -1.226 ns
Table 1–93 lists the EP3SL340 column pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.705 3.705 5.372 5.559 6.083 5.935 6.364 5.559 6.083 5.935 6.364 ns
4mA GCLK
tco 4.269 4.269 6.214 6.409 6.992 6.812 7.355 6.409 6.992 6.812 7.355 ns
PLL
GCLK tco 3.638 3.638 5.263 5.448 5.970 5.822 6.251 5.448 5.970 5.822 6.251 ns
8mA GCLK
tco 4.202 4.202 6.105 6.298 6.879 6.699 7.242 6.298 6.879 6.699 7.242 ns
3.3-V PLL
LVTTL GCLK tco 3.552 3.552 5.160 5.350 5.878 5.730 6.159 5.350 5.878 5.730 6.159 ns
12mA GCLK
tco 4.116 4.116 6.001 6.200 6.789 6.609 7.152 6.200 6.789 6.609 7.152 ns
PLL
GCLK tco 3.545 3.545 5.143 5.322 5.837 5.689 6.118 5.322 5.837 5.689 6.118 ns
16mA GCLK
tco 4.110 4.110 5.985 6.172 6.747 6.567 7.110 6.172 6.747 6.567 7.110 ns
PLL
GCLK tco 3.711 3.711 5.377 5.564 6.090 5.942 6.371 5.564 6.090 5.942 6.371 ns
4mA GCLK
tco 4.275 4.275 6.218 6.414 7.000 6.820 7.363 6.414 7.000 6.820 7.363 ns
PLL
GCLK tco 3.556 3.556 5.170 5.367 5.889 5.741 6.170 5.367 5.889 5.741 6.170 ns
8mA GCLK
tco 4.120 4.120 6.012 6.217 6.799 6.619 7.162 6.217 6.799 6.619 7.162 ns
3.3-V PLL
LVCMOS GCLK tco 3.563 3.563 5.164 5.346 5.863 5.715 6.144 5.346 5.863 5.715 6.144 ns
12mA GCLK
tco 4.127 4.127 6.006 6.196 6.775 6.595 7.138 6.196 6.775 6.595 7.138 ns
PLL
GCLK tco 3.547 3.547 5.141 5.320 5.834 5.686 6.115 5.320 5.834 5.686 6.115 ns
16mA GCLK
tco 4.111 4.111 5.983 6.171 6.746 6.566 7.109 6.171 6.746 6.566 7.109 ns
PLL
GCLK tco 3.669 3.669 5.339 5.528 6.050 5.902 6.331 5.528 6.050 5.902 6.331 ns
4mA GCLK
tco 4.232 4.232 6.181 6.377 6.983 6.803 7.346 6.377 6.983 6.803 7.346 ns
PLL
GCLK tco 3.558 3.558 5.209 5.394 5.912 5.765 6.193 5.394 5.912 5.765 6.193 ns
8mA GCLK
tco 4.125 4.125 6.053 6.246 6.867 6.688 7.229 6.246 6.867 6.688 7.229 ns
3.0-V PLL
LVTTL GCLK tco 3.522 3.522 5.146 5.325 5.838 5.691 6.119 5.325 5.838 5.691 6.119 ns
12mA GCLK
tco 4.087 4.087 5.987 6.174 6.803 6.624 7.165 6.174 6.803 6.624 7.165 ns
PLL
GCLK tco 3.504 3.504 5.117 5.297 5.810 5.662 6.091 5.297 5.810 5.662 6.091 ns
16mA GCLK
tco 4.068 4.068 5.959 6.147 6.780 6.601 7.142 6.147 6.780 6.601 7.142 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.583 3.583 5.243 5.427 5.947 5.800 6.228 5.427 5.947 5.800 6.228 ns
4mA GCLK
tco 4.149 4.149 6.087 6.279 6.903 6.724 7.265 6.279 6.903 6.724 7.265 ns
PLL
GCLK tco 3.504 3.504 5.120 5.298 5.812 5.665 6.093 5.298 5.812 5.665 6.093 ns
8mA GCLK
tco 4.070 4.070 5.963 6.149 6.793 6.614 7.155 6.149 6.793 6.614 7.155 ns
3.0-V PLL
LVCMOS GCLK tco 3.499 3.499 5.112 5.291 5.804 5.656 6.085 5.291 5.804 5.656 6.085 ns
12mA GCLK
tco 4.063 4.063 5.955 6.142 6.761 6.581 7.124 6.142 6.761 6.581 7.124 ns
PLL
GCLK tco 3.490 3.490 5.098 5.276 5.789 5.641 6.070 5.276 5.789 5.641 6.070 ns
16mA GCLK
tco 4.054 4.054 5.940 6.126 6.767 6.588 7.129 6.126 6.767 6.588 7.129 ns
PLL
GCLK tco 3.705 3.705 5.450 5.654 6.194 6.047 6.475 5.654 6.194 6.047 6.475 ns
4mA GCLK
tco 4.269 4.269 6.293 6.504 7.111 6.932 7.473 6.504 7.111 6.932 7.473 ns
PLL
GCLK tco 3.605 3.605 5.331 5.528 6.062 5.915 6.343 5.528 6.062 5.915 6.343 ns
8mA GCLK
tco 4.172 4.172 6.174 6.379 6.987 6.808 7.349 6.379 6.987 6.808 7.349 ns
PLL
2.5 V
GCLK tco 3.561 3.561 5.244 5.438 5.967 5.819 6.248 5.438 5.967 5.819 6.248 ns
12mA GCLK
tco 4.127 4.127 6.087 6.288 6.909 6.730 7.271 6.288 6.909 6.730 7.271 ns
PLL
GCLK tco 3.523 3.523 5.205 5.395 5.924 5.776 6.205 5.395 5.924 5.776 6.205 ns
16mA GCLK
tco 4.088 4.088 6.048 6.246 6.852 6.673 7.214 6.246 6.852 6.673 7.214 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.896 3.896 5.772 6.014 6.599 6.451 6.880 6.014 6.599 6.451 6.880 ns
2mA GCLK
tco 4.460 4.460 6.613 6.863 7.507 7.327 7.870 6.863 7.507 7.327 7.870 ns
PLL
GCLK tco 3.715 3.715 5.493 5.705 6.251 6.104 6.532 5.705 6.251 6.104 6.532 ns
4mA GCLK
tco 4.282 4.282 6.336 6.556 7.177 6.998 7.539 6.556 7.177 6.998 7.539 ns
PLL
GCLK tco 3.633 3.633 5.386 5.590 6.141 5.993 6.422 5.590 6.141 5.993 6.422 ns
6mA GCLK
tco 4.197 4.197 6.227 6.440 7.064 6.884 7.427 6.440 7.064 6.884 7.427 ns
PLL
1.8 V
GCLK tco 3.613 3.613 5.327 5.537 6.075 5.927 6.356 5.537 6.075 5.927 6.356 ns
8mA GCLK
tco 4.177 4.177 6.169 6.386 6.987 6.807 7.350 6.386 6.987 6.807 7.350 ns
PLL
GCLK tco 3.550 3.550 5.266 5.462 5.994 5.846 6.275 5.462 5.994 5.846 6.275 ns
10mA GCLK
tco 4.114 4.114 6.109 6.312 6.918 6.738 7.281 6.312 6.918 6.738 7.281 ns
PLL
GCLK tco 3.532 3.532 5.246 5.440 5.971 5.823 6.252 5.440 5.971 5.823 6.252 ns
12mA GCLK
tco 4.097 4.097 6.088 6.291 6.885 6.705 7.248 6.291 6.885 6.705 7.248 ns
PLL
GCLK tco 3.842 3.842 5.700 5.947 6.537 6.389 6.818 5.947 6.537 6.389 6.818 ns
2mA GCLK
tco 4.406 4.406 6.542 6.796 7.452 7.272 7.815 6.796 7.452 7.272 7.815 ns
PLL
GCLK tco 3.630 3.630 5.381 5.590 6.145 5.997 6.426 5.590 6.145 5.997 6.426 ns
4mA GCLK
tco 4.194 4.194 6.223 6.439 7.066 6.886 7.429 6.439 7.066 6.886 7.429 ns
PLL
GCLK tco 3.605 3.605 5.314 5.530 6.078 5.930 6.359 5.530 6.078 5.930 6.359 ns
6mA GCLK
tco 4.170 4.170 6.156 6.381 6.989 6.809 7.352 6.381 6.989 6.809 7.352 ns
PLL
1.5 V
GCLK tco 3.594 3.594 5.297 5.505 6.058 5.910 6.339 5.505 6.058 5.910 6.339 ns
8mA GCLK
tco 4.158 4.158 6.140 6.356 6.972 6.792 7.335 6.356 6.972 6.792 7.335 ns
PLL
GCLK tco 3.539 3.539 5.259 5.455 5.988 5.840 6.269 5.455 5.988 5.840 6.269 ns
10mA GCLK
tco 4.103 4.103 6.101 6.305 6.909 6.729 7.272 6.305 6.909 6.729 7.272 ns
PLL
GCLK tco 3.534 3.534 5.243 5.443 5.977 5.829 6.258 5.443 5.977 5.829 6.258 ns
12mA GCLK
tco 4.097 4.097 6.084 6.292 6.888 6.708 7.251 6.292 6.888 6.708 7.251 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.758 3.758 5.626 5.882 6.481 6.333 6.762 5.882 6.481 6.333 6.762 ns
2mA GCLK
tco 4.322 4.322 6.468 6.731 7.392 7.212 7.755 6.731 7.392 7.212 7.755 ns
PLL
GCLK tco 3.635 3.635 5.401 5.621 6.195 6.047 6.476 5.621 6.195 6.047 6.476 ns
4mA GCLK
tco 4.200 4.200 6.243 6.471 7.107 6.927 7.470 6.471 7.107 6.927 7.470 ns
PLL
1.2 V
GCLK tco 3.597 3.597 5.308 5.531 6.082 5.934 6.363 5.531 6.082 5.934 6.363 ns
6mA GCLK
tco 4.162 4.162 6.150 6.381 6.993 6.813 7.356 6.381 6.993 6.813 7.356 ns
PLL
GCLK tco 3.550 3.550 5.280 5.482 6.026 5.878 6.307 5.482 6.026 5.878 6.307 ns
8mA GCLK
tco 4.113 4.113 6.121 6.331 6.946 6.766 7.309 6.331 6.946 6.766 7.309 ns
PLL
GCLK tco 3.550 3.550 5.237 5.430 5.957 5.809 6.238 5.430 5.957 5.809 6.238 ns
8mA GCLK
tco 4.114 4.114 6.078 6.278 6.903 6.723 7.266 6.278 6.903 6.723 7.266 ns
PLL
GCLK tco 3.547 3.547 5.234 5.426 5.953 5.805 6.234 5.426 5.953 5.805 6.234 ns
SSTL-2
10mA GCLK
CLASS I tco 4.111 4.111 6.075 6.274 6.899 6.720 7.261 6.274 6.899 6.720 7.261 ns
PLL
GCLK tco 3.545 3.545 5.234 5.427 5.954 5.806 6.235 5.427 5.954 5.806 6.235 ns
12mA GCLK
tco 4.110 4.110 6.075 6.275 6.899 6.719 7.262 6.275 6.899 6.719 7.262 ns
PLL
GCLK tco 3.536 3.536 5.220 5.412 5.939 5.791 6.220 5.412 5.939 5.791 6.220 ns
SSTL-2
16mA GCLK
CLASS II tco 4.101 4.101 6.062 6.261 6.883 6.703 7.246 6.261 6.883 6.703 7.246 ns
PLL
GCLK tco 3.557 3.557 5.249 5.444 5.973 5.825 6.254 5.444 5.973 5.825 6.254 ns
4mA GCLK
tco 4.121 4.121 6.090 6.292 6.923 6.744 7.285 6.292 6.923 6.744 7.285 ns
PLL
GCLK tco 3.553 3.553 5.247 5.442 5.971 5.823 6.252 5.442 5.971 5.823 6.252 ns
6mA GCLK
tco 4.117 4.117 6.088 6.290 6.920 6.740 7.283 6.290 6.920 6.740 7.283 ns
PLL
GCLK tco 3.542 3.542 5.237 5.432 5.962 5.814 6.243 5.432 5.962 5.814 6.243 ns
SSTL-18
8mA GCLK
CLASS I tco 4.107 4.107 6.079 6.281 6.919 6.740 7.281 6.281 6.919 6.740 7.281 ns
PLL
GCLK tco 3.531 3.531 5.225 5.419 5.949 5.801 6.230 5.419 5.949 5.801 6.230 ns
10mA GCLK
tco 4.095 4.095 6.066 6.268 6.894 6.715 7.256 6.268 6.894 6.715 7.256 ns
PLL
GCLK tco 3.531 3.531 5.224 5.419 5.949 5.801 6.230 5.419 5.949 5.801 6.230 ns
12mA GCLK
tco 4.095 4.095 6.066 6.268 6.892 6.712 7.255 6.268 6.892 6.712 7.255 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.537 3.537 5.223 5.416 5.944 5.796 6.225 5.416 5.944 5.796 6.225 ns
8mA GCLK
tco 4.102 4.102 6.065 6.265 6.895 6.715 7.258 6.265 6.895 6.715 7.258 ns
SSTL-18 PLL
CLASS II GCLK tco 3.540 3.540 5.231 5.426 5.956 5.808 6.237 5.426 5.956 5.808 6.237 ns
16mA GCLK
tco 4.104 4.104 6.072 6.274 6.918 6.738 7.281 6.274 6.918 6.738 7.281 ns
PLL
GCLK tco 3.561 3.561 5.259 5.455 5.986 5.838 6.267 5.455 5.986 5.838 6.267 ns
4mA GCLK
tco 4.124 4.124 6.100 6.303 6.937 6.757 7.300 6.303 6.937 6.757 7.300 ns
PLL
GCLK tco 3.547 3.547 5.248 5.445 5.977 5.829 6.258 5.445 5.977 5.829 6.258 ns
6mA GCLK
tco 4.112 4.112 6.090 6.294 6.923 6.743 7.286 6.294 6.923 6.743 7.286 ns
PLL
GCLK tco 3.536 3.536 5.235 5.431 5.963 5.815 6.244 5.431 5.963 5.815 6.244 ns
SSTL-15
8mA GCLK
CLASS I tco 4.100 4.100 6.076 6.280 6.908 6.728 7.271 6.280 6.908 6.728 7.271 ns
PLL
GCLK tco 3.535 3.535 5.238 5.435 5.967 5.819 6.248 5.435 5.967 5.819 6.248 ns
10mA GCLK
tco 4.099 4.099 6.078 6.283 6.905 6.726 7.268 6.283 6.905 6.726 7.268 ns
PLL
GCLK tco 3.532 3.532 5.232 5.429 5.961 5.813 6.242 5.429 5.961 5.813 6.242 ns
12mA GCLK
tco 4.096 4.096 6.073 6.277 6.899 6.719 7.262 6.277 6.899 6.719 7.262 ns
PLL
GCLK tco 3.534 3.534 5.221 5.415 5.944 5.796 6.225 5.415 5.944 5.796 6.225 ns
8mA GCLK
tco 4.098 4.098 6.063 6.264 6.896 6.716 7.259 6.264 6.896 6.716 7.259 ns
SSTL-15 PLL
CLASS II GCLK tco 3.537 3.537 5.228 5.424 5.955 5.807 6.236 5.424 5.955 5.807 6.236 ns
16mA GCLK
tco 4.101 4.101 6.070 6.272 6.920 6.740 7.283 6.272 6.920 6.740 7.283 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.544 3.544 5.223 5.415 5.942 5.794 6.223 5.415 5.942 5.794 6.223 ns
4mA GCLK
tco 4.108 4.108 6.064 6.263 6.897 6.717 7.260 6.263 6.897 6.717 7.260 ns
PLL
GCLK tco 3.537 3.537 5.221 5.414 5.941 5.793 6.222 5.414 5.941 5.793 6.222 ns
6mA GCLK
tco 4.102 4.102 6.063 6.263 6.903 6.724 7.265 6.263 6.903 6.724 7.265 ns
PLL
1.8-V GCLK tco 3.529 3.529 5.214 5.406 5.934 5.786 6.215 5.406 5.934 5.786 6.215 ns
HSTL 8mA GCLK
CLASS I tco 4.094 4.094 6.055 6.255 6.885 6.706 7.247 6.255 6.885 6.706 7.247 ns
PLL
GCLK tco 3.532 3.532 5.217 5.410 5.938 5.790 6.219 5.410 5.938 5.790 6.219 ns
10mA GCLK
tco 4.096 4.096 6.058 6.258 6.887 6.707 7.250 6.258 6.887 6.707 7.250 ns
PLL
GCLK tco 3.529 3.529 5.219 5.413 5.942 5.794 6.223 5.413 5.942 5.794 6.223 ns
12mA GCLK
tco 4.093 4.093 6.060 6.261 6.895 6.716 7.257 6.261 6.895 6.716 7.257 ns
PLL
1.8-V GCLK tco 3.537 3.537 5.218 5.411 5.938 5.790 6.219 5.411 5.938 5.790 6.219 ns
HSTL 16mA GCLK
CLASS II tco 4.101 4.101 6.059 6.259 6.898 6.718 7.261 6.259 6.898 6.718 7.261 ns
PLL
GCLK tco 3.549 3.549 5.232 5.425 5.953 5.805 6.234 5.425 5.953 5.805 6.234 ns
4mA GCLK
tco 4.113 4.113 6.072 6.273 6.910 6.730 7.273 6.273 6.910 6.730 7.273 ns
PLL
GCLK tco 3.545 3.545 5.233 5.427 5.956 5.808 6.237 5.427 5.956 5.808 6.237 ns
6mA GCLK
tco 4.109 4.109 6.075 6.276 6.907 6.727 7.270 6.276 6.907 6.727 7.270 ns
PLL
1.5-V GCLK tco 3.541 3.541 5.228 5.422 5.951 5.803 6.232 5.422 5.951 5.803 6.232 ns
HSTL 8mA GCLK
CLASS I tco 4.105 4.105 6.070 6.271 6.902 6.722 7.265 6.271 6.902 6.722 7.265 ns
PLL
GCLK tco 3.534 3.534 5.221 5.415 5.944 5.796 6.225 5.415 5.944 5.796 6.225 ns
10mA GCLK
tco 4.098 4.098 6.063 6.264 6.896 6.716 7.259 6.264 6.896 6.716 7.259 ns
PLL
GCLK tco 3.535 3.535 5.228 5.423 5.954 5.806 6.235 5.423 5.954 5.806 6.235 ns
12mA GCLK
tco 4.098 4.098 6.069 6.271 6.900 6.721 7.262 6.271 6.900 6.721 7.262 ns
PLL
1.5-V GCLK tco 3.533 3.533 5.209 5.401 5.928 5.780 6.209 5.401 5.928 5.780 6.209 ns
HSTL 16mA GCLK
CLASS II tco 4.096 4.096 6.050 6.249 6.884 6.704 7.247 6.249 6.884 6.704 7.247 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.552 3.552 5.246 5.442 5.974 5.826 6.255 5.442 5.974 5.826 6.255 ns
4mA GCLK
tco 4.117 4.117 6.087 6.291 6.929 6.749 7.292 6.291 6.929 6.749 7.292 ns
PLL
GCLK tco 3.544 3.544 5.237 5.433 5.965 5.817 6.246 5.433 5.965 5.817 6.246 ns
6mA GCLK
tco 4.108 4.108 6.078 6.282 6.917 6.737 7.280 6.282 6.917 6.737 7.280 ns
PLL
1.2-V GCLK tco 3.545 3.545 5.244 5.442 5.974 5.826 6.255 5.442 5.974 5.826 6.255 ns
HSTL 8mA GCLK
CLASS I tco 4.108 4.108 6.085 6.290 6.920 6.740 7.283 6.290 6.920 6.740 7.283 ns
PLL
GCLK tco 3.534 3.534 5.231 5.428 5.960 5.812 6.241 5.428 5.960 5.812 6.241 ns
10mA GCLK
tco 4.098 4.098 6.072 6.276 6.915 6.736 7.277 6.276 6.915 6.736 7.277 ns
PLL
GCLK tco 3.534 3.534 5.231 5.428 5.961 5.813 6.242 5.428 5.961 5.813 6.242 ns
12mA GCLK
tco 4.098 4.098 6.073 6.277 6.906 6.727 7.269 6.277 6.906 6.727 7.269 ns
PLL
1.2-V GCLK tco 3.555 3.555 5.247 5.443 5.974 5.826 6.255 5.443 5.974 5.826 6.255 ns
HSTL 16mA GCLK
CLASS II tco 4.119 4.119 6.089 6.292 6.943 6.763 7.306 6.292 6.943 6.763 7.306 ns
PLL
GCLK tco 3.658 3.658 5.292 5.477 5.999 5.851 6.280 5.477 5.999 5.851 6.280 ns
3.0-V PCI — GCLK
tco 4.222 4.222 6.134 6.328 6.974 6.794 7.337 6.328 6.974 6.794 7.337 ns
PLL
GCLK tco 3.658 3.658 5.292 5.477 5.999 5.851 6.280 5.477 5.999 5.851 6.280 ns
3.0-V
— GCLK
PCI-X tco 4.222 4.222 6.134 6.328 6.974 6.794 7.337 6.328 6.974 6.794 7.337 ns
PLL
Table 1–94 lists the EP3SL340 row pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.479 3.781 5.469 5.657 6.238 6.095 6.481 5.741 6.370 6.120 6.565 ns
4mA GCLK
tco 1.562 1.782 2.243 2.285 2.559 2.570 2.578 2.386 2.666 2.671 2.594 ns
PLL
3.3-V GCLK tco 3.413 3.681 5.339 5.519 6.093 5.950 6.336 5.628 6.221 6.005 6.416 ns
LVTTL 8mA GCLK
tco 1.496 1.677 2.113 2.174 2.414 2.425 2.433 2.273 2.517 2.522 2.445 ns
PLL
GCLK tco 3.334 3.592 5.220 5.398 5.965 5.822 6.208 5.529 6.089 5.905 6.284 ns
12mA GCLK
tco 1.417 1.575 1.994 2.074 2.286 2.297 2.305 2.174 2.385 2.390 2.313 ns
PLL
GCLK tco 3.481 3.785 5.477 5.662 6.242 6.099 6.485 5.749 6.374 6.132 6.569 ns
4mA GCLK
3.3-V tco 1.564 1.786 2.251 2.291 2.563 2.574 2.582 2.394 2.670 2.675 2.598 ns
PLL
LVCMOS
GCLK tco 3.338 3.596 5.226 5.413 5.971 5.828 6.214 5.541 6.096 5.914 6.291 ns
8mA GCLK
tco 1.421 1.579 2.000 2.089 2.292 2.303 2.311 2.186 2.392 2.397 2.320 ns
PLL
GCLK tco 3.440 3.727 5.421 5.610 6.194 6.051 6.437 5.709 6.328 6.086 6.523 ns
4mA GCLK
tco 1.523 1.728 2.195 2.254 2.515 2.526 2.534 2.354 2.624 2.629 2.552 ns
PLL
3.0-V GCLK tco 3.339 3.601 5.269 5.451 6.030 5.887 6.273 5.567 6.164 5.940 6.358 ns
LVTTL 8mA GCLK
tco 1.422 1.601 2.043 2.112 2.351 2.362 2.370 2.212 2.460 2.464 2.387 ns
PLL
GCLK tco 3.302 3.563 5.188 5.371 5.942 5.799 6.185 5.499 6.071 5.867 6.265 ns
12mA GCLK
tco 1.385 1.550 1.961 2.047 2.263 2.274 2.282 2.144 2.367 2.371 2.294 ns
PLL
GCLK tco 3.361 3.646 5.316 5.503 6.084 5.941 6.327 5.600 6.217 5.976 6.411 ns
4mA GCLK
3.0-V tco 1.444 1.647 2.090 2.147 2.405 2.416 2.424 2.245 2.513 2.517 2.440 ns
PLL
LVCMOS
GCLK tco 3.289 3.547 5.161 5.342 5.903 5.760 6.146 5.469 6.031 5.838 6.225 ns
8mA GCLK
tco 1.372 1.530 1.926 2.018 2.224 2.235 2.243 2.114 2.327 2.331 2.254 ns
PLL
GCLK tco 3.466 3.763 5.554 5.764 6.366 6.223 6.609 5.841 6.507 6.238 6.701 ns
4mA GCLK
tco 1.549 1.764 2.328 2.380 2.687 2.698 2.706 2.486 2.803 2.807 2.730 ns
PLL
GCLK tco 3.381 3.665 5.399 5.601 6.196 6.053 6.439 5.704 6.333 6.093 6.527 ns
2.5 V
8mA GCLK
tco 1.464 1.666 2.173 2.245 2.517 2.528 2.536 2.349 2.629 2.633 2.556 ns
PLL
GCLK tco 3.324 3.603 5.288 5.483 6.070 5.927 6.313 5.615 6.203 5.999 6.397 ns
12mA GCLK
tco 1.407 1.589 2.062 2.159 2.391 2.402 2.410 2.260 2.499 2.503 2.426 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.692 3.942 5.817 6.193 6.841 6.698 7.084 6.248 6.991 6.682 7.186 ns
2mA GCLK
tco 1.785 1.925 2.574 2.860 3.162 3.173 3.192 2.985 3.287 3.292 3.203 ns
PLL
GCLK tco 3.519 3.756 5.527 5.824 6.436 6.293 6.679 5.922 6.585 6.320 6.779 ns
4mA GCLK
1.8 V tco 1.562 1.739 2.284 2.491 2.757 2.768 2.787 2.620 2.881 2.885 2.796 ns
PLL
GCLK tco 3.444 3.674 5.427 5.674 6.277 6.134 6.520 5.808 6.412 6.215 6.607 ns
6mA GCLK
tco 1.495 1.657 2.184 2.341 2.598 2.609 2.628 2.452 2.708 2.713 2.624 ns
PLL
GCLK tco 3.426 3.654 5.370 5.595 6.180 6.037 6.423 5.752 6.318 6.143 6.513 ns
8mA GCLK
tco 1.469 1.637 2.127 2.259 2.501 2.512 2.531 2.361 2.614 2.619 2.530 ns
PLL
GCLK tco 3.634 3.885 5.745 6.106 6.769 6.626 7.012 6.169 6.915 6.617 7.110 ns
2mA GCLK
tco 1.696 1.868 2.502 2.773 3.090 3.101 3.120 2.892 3.211 3.216 3.127 ns
PLL
GCLK tco 3.442 3.671 5.423 5.669 6.278 6.135 6.521 5.805 6.411 6.216 6.606 ns
4mA GCLK
1.5 V tco 1.485 1.654 2.180 2.336 2.599 2.610 2.629 2.446 2.707 2.712 2.623 ns
PLL
GCLK tco 3.415 3.645 5.355 5.587 6.172 6.029 6.415 5.745 6.306 6.143 6.501 ns
6mA GCLK
tco 1.458 1.628 2.112 2.251 2.493 2.504 2.523 2.354 2.602 2.607 2.518 ns
PLL
GCLK tco 3.396 3.634 5.338 5.562 6.153 6.010 6.396 5.721 6.284 6.124 6.479 ns
8mA GCLK
tco 1.439 1.617 2.095 2.226 2.474 2.485 2.504 2.330 2.580 2.585 2.496 ns
PLL
GCLK tco 3.564 3.798 5.666 6.020 6.694 6.551 6.937 6.100 6.831 6.548 7.026 ns
2mA GCLK
tco 1.639 1.781 2.423 2.687 3.015 3.026 3.045 2.804 3.127 3.132 3.043 ns
1.2 V PLL
GCLK tco 3.447 3.675 5.440 5.697 6.319 6.176 6.562 5.834 6.453 6.260 6.648 ns
4mA GCLK
tco 1.490 1.658 2.197 2.364 2.640 2.651 2.670 2.471 2.749 2.754 2.665 ns
PLL
GCLK tco 3.328 3.591 5.278 5.474 6.038 5.895 6.281 5.602 6.165 5.985 6.360 ns
8mA GCLK
SSTL-2 tco 1.411 1.575 2.039 2.150 2.359 2.370 2.378 2.247 2.461 2.466 2.389 ns
PLL
CLASS I
GCLK tco 3.323 3.587 5.275 5.472 6.030 5.887 6.273 5.601 6.158 5.984 6.353 ns
12mA GCLK
tco 1.406 1.570 2.032 2.148 2.351 2.362 2.370 2.246 2.454 2.459 2.382 ns
PLL
GCLK tco 3.314 3.576 5.260 5.456 6.003 5.860 6.246 5.584 6.131 5.966 6.326 ns
SSTL-2
16mA GCLK
CLASS II tco 1.397 1.559 2.017 2.132 2.324 2.335 2.343 2.229 2.427 2.432 2.355 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.375 3.598 5.289 5.499 6.054 5.911 6.297 5.651 6.181 6.034 6.376 ns
4mA GCLK
tco 1.418 1.581 2.046 2.163 2.375 2.386 2.405 2.260 2.477 2.482 2.393 ns
PLL
GCLK tco 3.370 3.593 5.287 5.498 6.052 5.909 6.295 5.649 6.179 6.032 6.374 ns
6mA GCLK
tco 1.413 1.576 2.044 2.162 2.373 2.384 2.403 2.258 2.475 2.480 2.391 ns
PLL
GCLK tco 3.359 3.582 5.277 5.488 6.035 5.895 6.278 5.640 6.163 6.023 6.358 ns
SSTL-18
8mA GCLK
CLASS I tco 1.402 1.565 2.034 2.152 2.356 2.367 2.386 2.249 2.459 2.464 2.375 ns
PLL
GCLK tco 3.348 3.571 5.264 5.475 6.020 5.882 6.263 5.628 6.148 6.011 6.343 ns
10mA GCLK
tco 1.391 1.554 2.021 2.139 2.341 2.352 2.371 2.237 2.444 2.449 2.360 ns
PLL
GCLK tco 3.348 3.570 5.264 5.475 6.019 5.882 6.262 5.627 6.147 6.011 6.342 ns
12mA GCLK
tco 1.391 1.553 2.021 2.139 2.340 2.351 2.370 2.236 2.443 2.448 2.359 ns
PLL
GCLK tco 3.356 3.577 5.263 5.472 6.013 5.877 6.256 5.623 6.140 6.005 6.335 ns
8mA GCLK
SSTL-18 tco 1.399 1.560 2.020 2.136 2.334 2.345 2.364 2.232 2.436 2.441 2.352 ns
PLL
CLASS II
GCLK tco 3.357 3.580 5.269 5.480 6.015 5.887 6.258 5.632 6.144 6.016 6.339 ns
16mA GCLK
tco 1.400 1.563 2.026 2.144 2.336 2.347 2.366 2.241 2.440 2.445 2.356 ns
PLL
GCLK tco 3.378 3.601 5.298 5.510 6.071 5.928 6.314 5.661 6.197 6.046 6.392 ns
4mA GCLK
tco 1.421 1.584 2.055 2.174 2.392 2.403 2.422 2.270 2.493 2.498 2.409 ns
PLL
SSTL-15 GCLK tco 3.364 3.587 5.287 5.500 6.054 5.911 6.297 5.652 6.181 6.037 6.376 ns
CLASS I 6mA GCLK
tco 1.407 1.570 2.044 2.164 2.375 2.386 2.405 2.261 2.477 2.482 2.393 ns
PLL
GCLK tco 3.353 3.575 5.274 5.487 6.036 5.896 6.279 5.639 6.164 6.024 6.359 ns
8mA GCLK
tco 1.396 1.558 2.031 2.151 2.357 2.368 2.387 2.248 2.460 2.465 2.376 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.363 3.583 5.262 5.470 6.016 5.875 6.259 5.622 6.143 6.002 6.338 ns
4mA GCLK
tco 1.406 1.566 2.019 2.134 2.337 2.348 2.367 2.231 2.439 2.444 2.355 ns
PLL
GCLK tco 3.356 3.577 5.260 5.469 6.008 5.874 6.251 5.621 6.136 6.002 6.331 ns
6mA GCLK
tco 1.399 1.560 2.017 2.133 2.329 2.340 2.359 2.230 2.432 2.437 2.348 ns
PLL
1.8-V
GCLK tco 3.347 3.569 5.253 5.462 6.000 5.867 6.243 5.614 6.128 5.995 6.323 ns
HSTL
CLASS I 8mA GCLK
tco 1.390 1.552 2.010 2.126 2.321 2.332 2.351 2.223 2.424 2.429 2.340 ns
PLL
GCLK tco 3.350 3.571 5.256 5.465 6.003 5.871 6.246 5.617 6.131 5.998 6.326 ns
10mA GCLK
tco 1.393 1.554 2.013 2.129 2.324 2.335 2.354 2.226 2.427 2.432 2.343 ns
PLL
GCLK tco 3.346 3.568 5.258 5.468 6.003 5.874 6.246 5.620 6.132 6.003 6.327 ns
12mA GCLK
tco 1.389 1.551 2.015 2.132 2.324 2.335 2.354 2.229 2.428 2.433 2.344 ns
PLL
1.8-V GCLK tco 3.354 3.576 5.256 5.465 5.994 5.870 6.237 5.616 6.121 5.997 6.316 ns
HSTL 16mA GCLK
CLASS II tco 1.397 1.559 2.013 2.129 2.315 2.326 2.345 2.225 2.417 2.422 2.333 ns
PLL
GCLK tco 3.369 3.589 5.271 5.480 6.031 5.888 6.274 5.631 6.157 6.013 6.352 ns
4mA GCLK
tco 1.412 1.572 2.028 2.144 2.352 2.363 2.382 2.240 2.453 2.458 2.369 ns
PLL
1.5-V
GCLK tco 3.363 3.584 5.272 5.482 6.027 5.888 6.270 5.633 6.154 6.016 6.349 ns
HSTL
CLASS I 6mA GCLK
tco 1.406 1.567 2.029 2.146 2.348 2.359 2.378 2.242 2.450 2.455 2.366 ns
PLL
GCLK tco 3.359 3.580 5.267 5.477 6.021 5.883 6.264 5.628 6.148 6.010 6.343 ns
8mA GCLK
tco 1.402 1.563 2.024 2.141 2.342 2.353 2.372 2.237 2.444 2.449 2.360 ns
PLL
GCLK tco 3.371 3.591 5.284 5.496 6.049 5.906 6.292 5.647 6.174 6.032 6.369 ns
4mA GCLK
tco 1.414 1.574 2.041 2.160 2.370 2.381 2.400 2.256 2.470 2.475 2.386 ns
PLL
1.2-V
GCLK tco 3.362 3.583 5.275 5.487 6.037 5.896 6.280 5.638 6.163 6.023 6.358 ns
HSTL
CLASS I 6mA GCLK
tco 1.405 1.566 2.032 2.151 2.358 2.369 2.388 2.247 2.459 2.464 2.375 ns
PLL
GCLK tco 3.361 3.583 5.282 5.495 6.043 5.905 6.286 5.647 6.170 6.033 6.365 ns
8mA GCLK
tco 1.404 1.566 2.039 2.159 2.364 2.375 2.394 2.256 2.466 2.471 2.382 ns
PLL
GCLK tco 3.434 3.697 5.330 5.520 6.047 5.904 6.299 5.650 6.177 6.027 6.372 ns
3.0-V PCI — GCLK
tco 1.517 1.680 2.087 2.196 2.378 2.386 2.387 2.295 2.482 2.493 2.401 ns
PLL
GCLK tco 3.434 3.697 5.330 5.520 6.047 5.904 6.299 5.650 6.177 6.027 6.372 ns
3.0-V
— GCLK
PCI-X tco 1.517 1.680 2.087 2.196 2.378 2.386 2.387 2.295 2.482 2.493 2.401 ns
PLL
Table 1–95 through Table 1–98 list the maximum I/O timing parameters for EP3SL340
devices for differential I/O standards.
Table 1–95 lists the EP3SL340 column pins input timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL = VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.278 -1.335 -1.674 -1.607 -1.788 -1.721 -2.204 -1.580 -2.013 -1.689 -2.242 ns
GCLK
th 1.414 1.489 1.926 1.873 2.080 2.002 2.485 1.857 2.327 1.981 2.527 ns
LVDS
GCLK tsu 0.857 0.885 1.872 2.050 2.211 2.107 1.975 2.104 2.409 2.165 2.031 ns
PLL th -0.582 -0.589 -1.382 -1.537 -1.640 -1.565 -1.423 -1.579 -1.804 -1.612 -1.474 ns
tsu -1.278 -1.335 -1.674 -1.607 -1.788 -1.721 -2.204 -1.580 -2.013 -1.689 -2.242 ns
GCLK
th 1.414 1.489 1.926 1.873 2.080 2.002 2.485 1.857 2.327 1.981 2.527 ns
MINI-LVDS
GCLK tsu 0.857 0.885 1.872 2.050 2.211 2.107 1.975 2.104 2.409 2.165 2.031 ns
PLL th -0.582 -0.589 -1.382 -1.537 -1.640 -1.565 -1.423 -1.579 -1.804 -1.612 -1.474 ns
tsu -1.278 -1.335 -1.674 -1.607 -1.788 -1.721 -2.204 -1.580 -2.013 -1.689 -2.242 ns
GCLK
th 1.414 1.489 1.926 1.873 2.080 2.002 2.485 1.857 2.327 1.981 2.527 ns
RSDS
GCLK tsu 0.857 0.885 1.872 2.050 2.211 2.107 1.975 2.104 2.409 2.165 2.031 ns
PLL th -0.582 -0.589 -1.382 -1.537 -1.640 -1.565 -1.423 -1.579 -1.804 -1.612 -1.474 ns
tsu -1.094 -1.158 -1.787 -1.806 -1.947 -1.875 -2.353 -1.815 -1.953 -1.887 -2.396 ns
GCLK
DIFFERENTIAL th 1.223 1.304 2.006 2.032 2.193 2.110 2.589 2.050 2.208 2.131 2.636 ns
1.2-V HSTL
CLASS I GCLK tsu 1.041 1.062 1.759 1.851 2.052 1.953 1.826 1.869 2.073 1.967 1.877 ns
PLL th -0.773 -0.774 -1.302 -1.378 -1.527 -1.457 -1.319 -1.386 -1.537 -1.462 -1.365 ns
tsu -1.094 -1.158 -1.787 -1.806 -1.947 -1.875 -2.353 -1.815 -1.953 -1.887 -2.396 ns
DIFFERENTIAL GCLK
th 1.223 1.304 2.006 2.032 2.193 2.110 2.589 2.050 2.208 2.131 2.636 ns
1.2-V HSTL
CLASS II GCLK tsu 1.041 1.062 1.759 1.851 2.052 1.953 1.826 1.869 2.073 1.967 1.877 ns
PLL th -0.773 -0.774 -1.302 -1.378 -1.527 -1.457 -1.319 -1.386 -1.537 -1.462 -1.365 ns
tsu -1.102 -1.170 -1.797 -1.817 -1.963 -1.891 -2.369 -1.826 -1.968 -1.902 -2.411 ns
GCLK
DIFFERENTIAL th 1.231 1.316 2.016 2.043 2.209 2.126 2.605 2.061 2.223 2.146 2.651 ns
1.5-V HSTL
CLASS I GCLK tsu 1.033 1.050 1.749 1.840 2.036 1.937 1.810 1.858 2.058 1.952 1.862 ns
PLL th -0.765 -0.762 -1.292 -1.367 -1.511 -1.441 -1.303 -1.375 -1.522 -1.447 -1.350 ns
tsu -1.102 -1.170 -1.797 -1.817 -1.963 -1.891 -2.369 -1.826 -1.968 -1.902 -2.411 ns
DIFFERENTIAL GCLK
th 1.231 1.316 2.016 2.043 2.209 2.126 2.605 2.061 2.223 2.146 2.651 ns
1.5-V HSTL
CLASS II GCLK tsu 1.033 1.050 1.749 1.840 2.036 1.937 1.810 1.858 2.058 1.952 1.862 ns
PLL th -0.765 -0.762 -1.292 -1.367 -1.511 -1.441 -1.303 -1.375 -1.522 -1.447 -1.350 ns
tsu -1.114 -1.181 -1.806 -1.828 -1.982 -1.910 -2.388 -1.837 -1.986 -1.920 -2.429 ns
DIFFERENTIAL GCLK
th 1.243 1.327 2.025 2.054 2.228 2.145 2.624 2.072 2.241 2.164 2.669 ns
1.8-V HSTL
CLASS I GCLK tsu 1.021 1.039 1.740 1.829 2.017 1.918 1.791 1.847 2.040 1.934 1.844 ns
PLL th -0.753 -0.751 -1.283 -1.356 -1.492 -1.422 -1.284 -1.364 -1.504 -1.429 -1.332 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.114 -1.181 -1.806 -1.828 -1.982 -1.910 -2.388 -1.837 -1.986 -1.920 -2.429 ns
DIFFERENTIAL GCLK
th 1.243 1.327 2.025 2.054 2.228 2.145 2.624 2.072 2.241 2.164 2.669 ns
1.8-V HSTL
CLASS II GCLK tsu 1.021 1.039 1.740 1.829 2.017 1.918 1.791 1.847 2.040 1.934 1.844 ns
PLL th -0.753 -0.751 -1.283 -1.356 -1.492 -1.422 -1.284 -1.364 -1.504 -1.429 -1.332 ns
tsu -1.102 -1.170 -1.797 -1.817 -1.963 -1.891 -2.369 -1.826 -1.968 -1.902 -2.411 ns
GCLK
DIFFERENTIAL th 1.231 1.316 2.016 2.043 2.209 2.126 2.605 2.061 2.223 2.146 2.651 ns
1.5-V SSTL
CLASS I GCLK tsu 1.033 1.050 1.749 1.840 2.036 1.937 1.810 1.858 2.058 1.952 1.862 ns
PLL th -0.765 -0.762 -1.292 -1.367 -1.511 -1.441 -1.303 -1.375 -1.522 -1.447 -1.350 ns
tsu -1.102 -1.170 -1.797 -1.817 -1.963 -1.891 -2.369 -1.826 -1.968 -1.902 -2.411 ns
DIFFERENTIAL GCLK
th 1.231 1.316 2.016 2.043 2.209 2.126 2.605 2.061 2.223 2.146 2.651 ns
1.5-V SSTL
CLASS II GCLK tsu 1.033 1.050 1.749 1.840 2.036 1.937 1.810 1.858 2.058 1.952 1.862 ns
PLL th -0.765 -0.762 -1.292 -1.367 -1.511 -1.441 -1.303 -1.375 -1.522 -1.447 -1.350 ns
tsu -1.114 -1.181 -1.806 -1.828 -1.982 -1.910 -2.388 -1.837 -1.986 -1.920 -2.429 ns
DIFFERENTIAL GCLK
th 1.243 1.327 2.025 2.054 2.228 2.145 2.624 2.072 2.241 2.164 2.669 ns
1.8-V SSTL
CLASS I GCLK tsu 1.021 1.039 1.740 1.829 2.017 1.918 1.791 1.847 2.040 1.934 1.844 ns
PLL th -0.753 -0.751 -1.283 -1.356 -1.492 -1.422 -1.284 -1.364 -1.504 -1.429 -1.332 ns
tsu -1.114 -1.181 -1.806 -1.828 -1.982 -1.910 -2.388 -1.837 -1.986 -1.920 -2.429 ns
GCLK
DIFFERENTIAL th 1.243 1.327 2.025 2.054 2.228 2.145 2.624 2.072 2.241 2.164 2.669 ns
1.8-V SSTL
CLASS II GCLK tsu 1.021 1.039 1.740 1.829 2.017 1.918 1.791 1.847 2.040 1.934 1.844 ns
PLL th -0.753 -0.751 -1.283 -1.356 -1.492 -1.422 -1.284 -1.364 -1.504 -1.429 -1.332 ns
tsu -1.121 -1.187 -1.818 -1.833 -1.982 -1.912 -2.387 -1.841 -1.981 -1.918 -2.425 ns
DIFFERENTIAL GCLK
th 1.250 1.333 2.038 2.062 2.231 2.148 2.628 2.079 2.241 2.163 2.670 ns
2.5-V SSTL
CLASS I GCLK tsu 1.014 1.033 1.728 1.824 2.017 1.916 1.792 1.843 2.045 1.936 1.848 ns
PLL th -0.746 -0.745 -1.270 -1.348 -1.489 -1.419 -1.280 -1.357 -1.504 -1.430 -1.331 ns
tsu -1.121 -1.187 -1.818 -1.833 -1.982 -1.912 -2.387 -1.841 -1.981 -1.918 -2.425 ns
GCLK
DIFFERENTIAL th 1.250 1.333 2.038 2.062 2.231 2.148 2.628 2.079 2.241 2.163 2.670 ns
2.5-V SSTL
CLASS II GCLK tsu 1.014 1.033 1.728 1.824 2.017 1.916 1.792 1.843 2.045 1.936 1.848 ns
PLL th -0.746 -0.745 -1.270 -1.348 -1.489 -1.419 -1.280 -1.357 -1.504 -1.430 -1.331 ns
Table 1–96 lists the EP3SL340 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.246 -1.308 -1.577 -1.488 -1.656 -1.595 -2.046 -1.456 -1.615 -1.558 -2.087 ns
GCLK
th 1.380 1.458 1.832 1.758 1.950 1.878 2.332 1.738 1.920 1.853 2.374 ns
LVDS
GCLK tsu 0.822 0.846 1.911 2.121 2.309 2.193 2.091 2.181 2.377 2.260 2.149 ns
PLL th -0.548 -0.552 -1.422 -1.604 -1.736 -1.650 -1.536 -1.651 -1.790 -1.702 -1.588 ns
tsu -1.246 -1.308 -1.577 -1.488 -1.656 -1.595 -2.046 -1.456 -1.615 -1.558 -2.087 ns
GCLK
th 1.380 1.458 1.832 1.758 1.950 1.878 2.332 1.738 1.920 1.853 2.374 ns
MINI-LVDS
GCLK tsu 0.822 0.846 1.911 2.121 2.309 2.193 2.091 2.181 2.377 2.260 2.149 ns
PLL th -0.548 -0.552 -1.422 -1.604 -1.736 -1.650 -1.536 -1.651 -1.790 -1.702 -1.588 ns
tsu -1.246 -1.308 -1.577 -1.488 -1.656 -1.595 -2.046 -1.456 -1.615 -1.558 -2.087 ns
GCLK
th 1.380 1.458 1.832 1.758 1.950 1.878 2.332 1.738 1.920 1.853 2.374 ns
RSDS
GCLK tsu 0.822 0.846 1.911 2.121 2.309 2.193 2.091 2.181 2.377 2.260 2.149 ns
PLL th -0.548 -0.552 -1.422 -1.604 -1.736 -1.650 -1.536 -1.651 -1.790 -1.702 -1.588 ns
tsu -1.051 -1.123 -1.707 -1.717 -1.845 -1.779 -2.225 -1.727 -1.852 -1.790 -2.271 ns
GCLK
th 1.178 1.264 1.926 1.941 2.090 2.013 2.462 1.960 2.105 2.033 2.509 ns
GCLK tsu 1.007 1.021 1.776 1.887 2.110 1.999 1.902 1.904 2.130 2.018 1.955 ns
DIFFERENTIAL PLL th -0.740 -0.736 -1.321 -1.415 -1.586 -1.505 -1.396 -1.423 -1.595 -1.512 -1.443 ns
1.2-V
HSTL CLASS I tsu -1.051 -1.123 -1.707 -1.717 -1.845 -1.779 -2.225 -1.727 -1.852 -1.790 -2.271 ns
GCLK
th 1.178 1.264 1.926 1.941 2.090 2.013 2.462 1.960 2.105 2.033 2.509 ns
GCLK tsu 1.007 1.021 1.776 1.887 2.110 1.999 1.902 1.904 2.130 2.018 1.955 ns
PLL th -0.740 -0.736 -1.321 -1.415 -1.586 -1.505 -1.396 -1.423 -1.595 -1.512 -1.443 ns
tsu -1.060 -1.135 -1.716 -1.727 -1.861 -1.795 -2.241 -1.736 -1.868 -1.806 -2.287 ns
GCLK
th 1.187 1.276 1.935 1.951 2.106 2.029 2.478 1.969 2.121 2.049 2.525 ns
GCLK tsu 0.998 1.009 1.767 1.877 2.094 1.983 1.886 1.895 2.114 2.002 1.939 ns
DIFFERENTIAL PLL th -0.731 -0.724 -1.312 -1.405 -1.570 -1.489 -1.380 -1.414 -1.579 -1.496 -1.427 ns
1.5-V
HSTL CLASS I tsu -1.060 -1.135 -1.716 -1.727 -1.861 -1.795 -2.241 -1.736 -1.868 -1.806 -2.287 ns
GCLK
th 1.187 1.276 1.935 1.951 2.106 2.029 2.478 1.969 2.121 2.049 2.525 ns
GCLK tsu 0.998 1.009 1.767 1.877 2.094 1.983 1.886 1.895 2.114 2.002 1.939 ns
PLL th -0.731 -0.724 -1.312 -1.405 -1.570 -1.489 -1.380 -1.414 -1.579 -1.496 -1.427 ns
tsu -1.074 -1.147 -1.725 -1.737 -1.879 -1.813 -2.259 -1.747 -1.885 -1.823 -2.304 ns
GCLK
DIFFERENTIAL th 1.201 1.288 1.944 1.961 2.124 2.047 2.496 1.980 2.138 2.066 2.542 ns
1.8-V
HSTL CLASS I GCLK tsu 0.984 0.997 1.754 1.867 2.076 1.965 1.868 1.884 2.097 1.985 1.922 ns
PLL th -0.717 -0.712 -1.300 -1.395 -1.552 -1.471 -1.362 -1.403 -1.562 -1.479 -1.410 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.074 -1.147 -1.725 -1.737 -1.879 -1.813 -2.259 -1.747 -1.885 -1.823 -2.304 ns
GCLK
DIFFERENTIAL th 1.201 1.288 1.944 1.961 2.124 2.047 2.496 1.980 2.138 2.066 2.542 ns
1.8-V
HSTL CLASS II GCLK tsu 0.984 0.997 1.754 1.867 2.076 1.965 1.868 1.884 2.097 1.985 1.922 ns
PLL th -0.717 -0.712 -1.300 -1.395 -1.552 -1.471 -1.362 -1.403 -1.562 -1.479 -1.410 ns
tsu -1.060 -1.135 -1.716 -1.727 -1.861 -1.795 -2.241 -1.736 -1.868 -1.806 -2.287 ns
GCLK
DIFFERENTIAL th 1.187 1.276 1.935 1.951 2.106 2.029 2.478 1.969 2.121 2.049 2.525 ns
1.5-V
SSTL CLASS I GCLK tsu 0.998 1.009 1.767 1.877 2.094 1.983 1.886 1.895 2.114 2.002 1.939 ns
PLL th -0.731 -0.724 -1.312 -1.405 -1.570 -1.489 -1.380 -1.414 -1.579 -1.496 -1.427 ns
tsu -1.060 -1.135 -1.716 -1.727 -1.861 -1.795 -2.241 -1.736 -1.868 -1.806 -2.287 ns
GCLK
DIFFERENTIAL th 1.187 1.276 1.935 1.951 2.106 2.029 2.478 1.969 2.121 2.049 2.525 ns
1.5-V
SSTL CLASS II GCLK tsu 0.998 1.009 1.767 1.877 2.094 1.983 1.886 1.895 2.114 2.002 1.939 ns
PLL th -0.731 -0.724 -1.312 -1.405 -1.570 -1.489 -1.380 -1.414 -1.579 -1.496 -1.427 ns
tsu -1.074 -1.147 -1.725 -1.737 -1.879 -1.813 -2.259 -1.747 -1.885 -1.823 -2.304 ns
GCLK
DIFFERENTIAL th 1.201 1.288 1.944 1.961 2.124 2.047 2.496 1.980 2.138 2.066 2.542 ns
1.8-V
SSTL CLASS I GCLK tsu 0.984 0.997 1.754 1.867 2.076 1.965 1.868 1.884 2.097 1.985 1.922 ns
PLL th -0.717 -0.712 -1.300 -1.395 -1.552 -1.471 -1.362 -1.403 -1.562 -1.479 -1.410 ns
tsu -1.074 -1.147 -1.725 -1.737 -1.879 -1.813 -2.259 -1.747 -1.885 -1.823 -2.304 ns
GCLK
DIFFERENTIAL th 1.201 1.288 1.944 1.961 2.124 2.047 2.496 1.980 2.138 2.066 2.542 ns
1.8-V
SSTL CLASS II GCLK tsu 0.984 0.997 1.754 1.867 2.076 1.965 1.868 1.884 2.097 1.985 1.922 ns
PLL th -0.717 -0.712 -1.300 -1.395 -1.552 -1.471 -1.362 -1.403 -1.562 -1.479 -1.410 ns
tsu -1.083 -1.156 -1.739 -1.748 -1.886 -1.821 -2.265 -1.754 -1.886 -1.826 -2.306 ns
GCLK
th 1.210 1.297 1.959 1.975 2.134 2.057 2.507 1.990 2.144 2.071 2.549 ns
GCLK tsu 0.985 0.998 1.749 1.861 2.075 1.963 1.867 1.883 2.101 1.987 1.925 ns
DIFFERENTIAL PLL th -0.718 -0.713 -1.295 -1.387 -1.548 -1.467 -1.357 -1.399 -1.563 -1.480 -1.409 ns
2.5-V
SSTL CLASS I tsu -1.083 -1.156 -1.739 -1.748 -1.886 -1.821 -2.265 -1.754 -1.886 -1.826 -2.306 ns
GCLK
th 1.210 1.297 1.959 1.975 2.134 2.057 2.507 1.990 2.144 2.071 2.549 ns
GCLK tsu 0.985 0.998 1.749 1.861 2.075 1.963 1.867 1.883 2.101 1.987 1.925 ns
PLL th -0.718 -0.713 -1.295 -1.387 -1.548 -1.467 -1.357 -1.399 -1.563 -1.480 -1.409 ns
Table 1–97 lists the EP3SL340 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Units
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.438 3.700 5.415 5.607 6.143 5.991 6.399 5.739 6.274 6.122 6.475 ns
LVDS_E_1R — GCLK
tco 1.427 1.606 2.089 2.181 2.406 2.407 2.475 2.287 2.511 2.514 2.459 ns
PLL
GCLK tco 3.434 3.703 5.462 5.662 6.205 6.053 6.461 5.798 6.340 6.188 6.541 ns
LVDS_E_3R — GCLK
tco 1.423 1.609 2.136 2.236 2.468 2.469 2.537 2.346 2.577 2.580 2.525 ns
PLL
GCLK tco 3.438 3.700 5.415 5.607 6.143 5.991 6.399 5.739 6.274 6.122 6.475 ns
MINI-
— GCLK
LVDS_E_1R tco 1.427 1.606 2.089 2.181 2.406 2.407 2.475 2.287 2.511 2.514 2.459 ns
PLL
GCLK tco 3.434 3.703 5.462 5.662 6.205 6.053 6.461 5.798 6.340 6.188 6.541 ns
MINI-
— GCLK
LVDS_E_3R tco 1.423 1.609 2.136 2.236 2.468 2.469 2.537 2.346 2.577 2.580 2.525 ns
PLL
GCLK tco 3.438 3.700 5.415 5.607 6.143 5.991 6.399 5.739 6.274 6.122 6.475 ns
RSDS_E_1R — GCLK
tco 1.427 1.606 2.089 2.181 2.406 2.407 2.475 2.287 2.511 2.514 2.459 ns
PLL
GCLK tco 3.434 3.703 5.462 5.662 6.205 6.053 6.461 5.798 6.340 6.188 6.541 ns
RSDS_E_3R — GCLK
tco 1.423 1.609 2.136 2.236 2.468 2.469 2.537 2.346 2.577 2.580 2.525 ns
PLL
GCLK tco 3.465 3.733 5.486 5.685 6.227 6.075 6.483 5.819 6.360 6.208 6.561 ns
4mA GCLK
tco 1.454 1.639 2.160 2.259 2.490 2.491 2.559 2.367 2.597 2.600 2.545 ns
PLL
GCLK tco 3.455 3.723 5.476 5.674 6.217 6.065 6.473 5.808 6.350 6.198 6.551 ns
6mA GCLK
tco 1.444 1.629 2.150 2.248 2.480 2.481 2.549 2.356 2.587 2.590 2.535 ns
PLL
DIFFERENTIAL
GCLK tco 3.455 3.723 5.479 5.678 6.221 6.069 6.477 5.813 6.355 6.203 6.556 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 1.444 1.629 2.153 2.252 2.484 2.485 2.553 2.361 2.592 2.595 2.540 ns
PLL
GCLK tco 3.448 3.717 5.472 5.672 6.215 6.063 6.471 5.806 6.349 6.197 6.550 ns
10mA GCLK
tco 1.437 1.623 2.146 2.246 2.478 2.479 2.547 2.354 2.586 2.589 2.534 ns
PLL
GCLK tco 3.447 3.715 5.469 5.669 6.212 6.060 6.468 5.803 6.345 6.193 6.546 ns
12mA GCLK
tco 1.436 1.621 2.143 2.243 2.475 2.476 2.544 2.351 2.582 2.585 2.530 ns
PLL
DIFFERENTIAL GCLK tco 3.469 3.737 5.490 5.689 6.231 6.079 6.487 5.823 6.365 6.213 6.566 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 1.458 1.643 2.164 2.263 2.494 2.495 2.563 2.371 2.602 2.605 2.550 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.459 3.726 5.469 5.666 6.206 6.054 6.462 5.799 6.338 6.186 6.539 ns
4mA GCLK
tco 1.448 1.632 2.143 2.240 2.469 2.470 2.538 2.347 2.575 2.578 2.523 ns
PLL
GCLK tco 3.454 3.722 5.469 5.666 6.207 6.055 6.463 5.800 6.340 6.188 6.541 ns
6mA GCLK
tco 1.443 1.628 2.143 2.240 2.470 2.471 2.539 2.348 2.577 2.580 2.525 ns
PLL
DIFFERENTIAL
GCLK tco 3.452 3.720 5.468 5.665 6.205 6.053 6.461 5.799 6.339 6.187 6.540 ns
1.5-V HSTL
CLASS I 8mA GCLK
tco 1.441 1.626 2.142 2.239 2.468 2.469 2.537 2.347 2.576 2.579 2.524 ns
PLL
GCLK tco 3.444 3.711 5.458 5.655 6.196 6.044 6.452 5.789 6.329 6.177 6.530 ns
10mA GCLK
tco 1.433 1.617 2.132 2.229 2.459 2.460 2.528 2.337 2.566 2.569 2.514 ns
PLL
GCLK tco 3.445 3.713 5.464 5.662 6.204 6.052 6.460 5.797 6.338 6.186 6.539 ns
12mA GCLK
tco 1.434 1.619 2.138 2.236 2.467 2.468 2.536 2.345 2.575 2.578 2.523 ns
PLL
DIFFERENTIAL GCLK tco 3.444 3.710 5.447 5.643 6.182 6.030 6.438 5.776 6.314 6.162 6.515 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 1.433 1.616 2.121 2.217 2.445 2.446 2.514 2.324 2.551 2.554 2.499 ns
PLL
GCLK tco 3.456 3.723 5.465 5.661 6.200 6.048 6.456 5.795 6.333 6.181 6.534 ns
4mA GCLK
tco 1.445 1.629 2.139 2.235 2.463 2.464 2.532 2.343 2.570 2.573 2.518 ns
PLL
GCLK tco 3.452 3.720 5.466 5.663 6.204 6.052 6.460 5.797 6.337 6.185 6.538 ns
6mA GCLK
tco 1.441 1.626 2.140 2.237 2.467 2.468 2.536 2.345 2.574 2.577 2.522 ns
PLL
DIFFERENTIAL
1.8-V HSTL
GCLK tco 3.442 3.709 5.455 5.652 6.192 6.040 6.448 5.786 6.325 6.173 6.526 ns
CLASS I 8mA GCLK
tco 1.431 1.615 2.129 2.226 2.455 2.456 2.524 2.334 2.562 2.565 2.510 ns
PLL
GCLK tco 3.440 3.707 5.453 5.649 6.190 6.038 6.446 5.784 6.323 6.171 6.524 ns
10mA GCLK
tco 1.429 1.613 2.127 2.223 2.453 2.454 2.522 2.332 2.560 2.563 2.508 ns
PLL
GCLK tco 3.440 3.708 5.456 5.654 6.195 6.043 6.451 5.788 6.329 6.177 6.530 ns
12mA GCLK
tco 1.429 1.614 2.130 2.228 2.458 2.459 2.527 2.336 2.566 2.569 2.514 ns
PLL
DIFFERENTIAL GCLK tco 3.444 3.711 5.453 5.649 6.189 6.037 6.445 5.783 6.322 6.170 6.523 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 1.433 1.617 2.127 2.223 2.452 2.453 2.521 2.331 2.559 2.562 2.507 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.470 3.740 5.498 5.697 6.239 6.087 6.495 5.831 6.372 6.220 6.573 ns
4mA GCLK
tco 1.459 1.646 2.172 2.271 2.502 2.503 2.571 2.379 2.609 2.612 2.557 ns
PLL
GCLK tco 3.456 3.726 5.486 5.686 6.229 6.077 6.485 5.821 6.363 6.211 6.564 ns
6mA GCLK
tco 1.445 1.632 2.160 2.260 2.492 2.493 2.561 2.369 2.600 2.603 2.548 ns
PLL
DIFFERENTIAL
GCLK tco 3.444 3.713 5.469 5.668 6.211 6.059 6.467 5.803 6.345 6.193 6.546 ns
1.5-V SSTL
CLASS I 8mA GCLK
tco 1.433 1.619 2.143 2.242 2.474 2.475 2.543 2.351 2.582 2.585 2.530 ns
PLL
GCLK tco 3.444 3.713 5.472 5.672 6.215 6.063 6.471 5.807 6.350 6.198 6.551 ns
10mA GCLK
tco 1.433 1.619 2.146 2.246 2.478 2.479 2.547 2.355 2.587 2.590 2.535 ns
PLL
GCLK tco 3.440 3.709 5.465 5.664 6.208 6.056 6.464 5.800 6.342 6.190 6.543 ns
12mA GCLK
tco 1.429 1.615 2.139 2.238 2.471 2.472 2.540 2.348 2.579 2.582 2.527 ns
PLL
GCLK tco 3.444 3.711 5.458 5.655 6.196 6.044 6.452 5.789 6.329 6.177 6.530 ns
DIFFERENTIAL 8mA GCLK
1.5-V SSTL tco 1.433 1.617 2.132 2.229 2.459 2.460 2.528 2.337 2.566 2.569 2.514 ns
PLL
CLASS II GCLK tco 3.445 3.713 5.466 5.665 6.207 6.055 6.463 5.799 6.341 6.189 6.542 ns
16mA GCLK
tco 1.434 1.619 2.140 2.239 2.470 2.471 2.539 2.347 2.578 2.581 2.526 ns
PLL
GCLK tco 3.473 3.743 5.497 5.695 6.237 6.085 6.493 5.830 6.370 6.218 6.571 ns
4mA GCLK
tco 1.462 1.649 2.171 2.269 2.500 2.501 2.569 2.378 2.607 2.610 2.555 ns
PLL
GCLK tco 3.462 3.731 5.485 5.683 6.225 6.073 6.481 5.818 6.358 6.206 6.559 ns
6mA GCLK
tco 1.451 1.637 2.159 2.257 2.488 2.489 2.557 2.366 2.595 2.598 2.543 ns
PLL
DIFFERENTIAL
GCLK tco 3.457 3.727 5.485 5.684 6.226 6.074 6.482 5.819 6.360 6.208 6.561 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 1.446 1.633 2.159 2.258 2.489 2.490 2.558 2.367 2.597 2.600 2.545 ns
PLL
GCLK tco 3.443 3.712 5.467 5.665 6.207 6.055 6.463 5.800 6.342 6.190 6.543 ns
10mA GCLK
tco 1.432 1.618 2.141 2.239 2.470 2.471 2.539 2.348 2.579 2.582 2.527 ns
PLL
GCLK tco 3.441 3.710 5.465 5.663 6.205 6.053 6.461 5.798 6.339 6.187 6.540 ns
12mA GCLK
tco 1.430 1.616 2.139 2.237 2.468 2.469 2.537 2.346 2.576 2.579 2.524 ns
PLL
GCLK tco 3.445 3.712 5.457 5.653 6.193 6.041 6.449 5.787 6.326 6.174 6.527 ns
DIFFERENTIAL 8mA GCLK
tco 1.434 1.618 2.131 2.227 2.456 2.457 2.525 2.335 2.563 2.566 2.511 ns
1.8-V SSTL PLL
CLASS II GCLK tco 3.445 3.713 5.465 5.663 6.205 6.053 6.461 5.798 6.339 6.187 6.540 ns
16mA GCLK
tco 1.434 1.619 2.139 2.237 2.468 2.469 2.537 2.346 2.576 2.579 2.524 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.461 3.730 5.481 5.678 6.219 6.067 6.475 5.813 6.352 6.200 6.553 ns
8mA GCLK
tco 1.450 1.636 2.155 2.252 2.482 2.483 2.551 2.361 2.589 2.592 2.537 ns
PLL
DIFFERENTIAL
GCLK tco 3.461 3.730 5.481 5.678 6.219 6.067 6.475 5.813 6.352 6.200 6.553 ns
2.5-V SSTL
CLASS I 10mA GCLK
tco 1.450 1.636 2.155 2.252 2.482 2.483 2.551 2.361 2.589 2.592 2.537 ns
PLL
GCLK tco 3.451 3.720 5.471 5.668 6.209 6.057 6.465 5.803 6.343 6.191 6.544 ns
12mA GCLK
tco 1.440 1.626 2.145 2.242 2.472 2.473 2.541 2.351 2.580 2.583 2.528 ns
PLL
DIFFERENTIAL GCLK tco 3.444 3.712 5.457 5.653 6.193 6.041 6.449 5.787 6.326 6.174 6.527 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 1.433 1.618 2.131 2.227 2.456 2.457 2.525 2.335 2.563 2.566 2.511 ns
PLL
Table 1–98 lists the EP3SL340 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL= VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.022 3.234 4.714 4.872 5.362 5.217 5.602 4.981 5.467 5.326 5.662 ns
LVDS — GCLK
tco 1.063 1.193 1.445 1.501 1.678 1.690 1.735 1.583 1.761 1.772 1.704 ns
PLL
GCLK tco 3.414 3.678 5.396 5.588 6.125 5.972 6.349 5.722 6.255 6.105 6.425 ns
LVDS_E_1R — GCLK
tco 1.455 1.637 2.127 2.217 2.441 2.445 2.482 2.324 2.549 2.551 2.467 ns
PLL
GCLK tco 3.396 3.668 5.434 5.634 6.179 6.026 6.403 5.773 6.316 6.166 6.486 ns
LVDS_E_3R — GCLK
tco 1.437 1.627 2.165 2.263 2.495 2.499 2.536 2.375 2.610 2.612 2.528 ns
PLL
GCLK tco 3.022 3.234 4.714 4.872 5.362 5.217 5.602 4.981 5.467 5.326 5.662 ns
MINI-LVDS — GCLK
tco 1.063 1.193 1.445 1.501 1.678 1.690 1.735 1.583 1.761 1.772 1.704 ns
PLL
GCLK tco 3.414 3.678 5.396 5.588 6.125 5.972 6.349 5.722 6.255 6.105 6.425 ns
MINI-
— GCLK
LVDS_E_1R tco 1.455 1.637 2.127 2.217 2.441 2.445 2.482 2.324 2.549 2.551 2.467 ns
PLL
GCLK tco 3.396 3.668 5.434 5.634 6.179 6.026 6.403 5.773 6.316 6.166 6.486 ns
MINI-
— GCLK
LVDS_E_3R tco 1.437 1.627 2.165 2.263 2.495 2.499 2.536 2.375 2.610 2.612 2.528 ns
PLL
GCLK tco 3.022 3.234 4.714 4.872 5.362 5.217 5.602 4.981 5.467 5.326 5.662 ns
RSDS — GCLK
tco 1.063 1.193 1.445 1.501 1.678 1.690 1.735 1.583 1.761 1.772 1.704 ns
PLL
GCLK tco 3.414 3.678 5.396 5.588 6.125 5.972 6.349 5.722 6.255 6.105 6.425 ns
RSDS_E_1R — GCLK
tco 1.455 1.637 2.127 2.217 2.441 2.445 2.482 2.324 2.549 2.551 2.467 ns
PLL
GCLK tco 3.396 3.668 5.434 5.634 6.179 6.026 6.403 5.773 6.316 6.166 6.486 ns
RSDS_E_3R — GCLK
tco 1.437 1.627 2.165 2.263 2.495 2.499 2.536 2.375 2.610 2.612 2.528 ns
PLL
GCLK tco 3.440 3.711 5.470 5.668 6.212 6.059 6.436 5.806 6.345 6.195 6.515 ns
4mA GCLK
tco 1.491 1.680 2.211 2.307 2.538 2.542 2.579 2.418 2.649 2.651 2.567 ns
PLL
DIFFERENTIAL GCLK tco 3.426 3.697 5.457 5.655 6.199 6.046 6.423 5.792 6.332 6.182 6.502 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 1.477 1.666 2.198 2.294 2.525 2.529 2.566 2.404 2.636 2.638 2.554 ns
PLL
GCLK tco 3.422 3.693 5.455 5.655 6.200 6.047 6.424 5.792 6.334 6.184 6.504 ns
8mA GCLK
tco 1.473 1.662 2.196 2.294 2.526 2.530 2.567 2.404 2.638 2.640 2.556 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.438 3.708 5.456 5.652 6.194 6.041 6.418 5.789 6.327 6.177 6.497 ns
4mA GCLK
tco 1.489 1.677 2.197 2.291 2.520 2.524 2.561 2.401 2.631 2.633 2.549 ns
PLL
DIFFERENTIAL GCLK tco 3.427 3.698 5.452 5.648 6.191 6.038 6.415 5.786 6.324 6.174 6.494 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 1.478 1.667 2.193 2.287 2.517 2.521 2.558 2.398 2.628 2.630 2.546 ns
PLL
GCLK tco 3.424 3.695 5.450 5.646 6.189 6.036 6.413 5.784 6.323 6.173 6.493 ns
8mA GCLK
tco 1.475 1.664 2.191 2.285 2.515 2.519 2.556 2.396 2.627 2.629 2.545 ns
PLL
GCLK tco 3.435 3.705 5.451 5.647 6.188 6.035 6.412 5.784 6.321 6.171 6.491 ns
4mA GCLK
tco 1.486 1.674 2.192 2.286 2.514 2.518 2.555 2.396 2.625 2.627 2.543 ns
PLL
GCLK tco 3.425 3.696 5.449 5.645 6.187 6.034 6.411 5.783 6.321 6.171 6.491 ns
6mA GCLK
tco 1.476 1.665 2.190 2.284 2.513 2.517 2.554 2.395 2.625 2.627 2.543 ns
PLL
DIFFERENTIAL GCLK tco 3.411 3.682 5.434 5.630 6.173 6.020 6.397 5.768 6.306 6.156 6.476 ns
1.8-V HSTL 8mA GCLK
CLASS I tco 1.462 1.651 2.175 2.269 2.499 2.503 2.540 2.380 2.610 2.612 2.528 ns
PLL
GCLK tco 3.408 3.678 5.430 5.626 6.169 6.016 6.393 5.764 6.302 6.152 6.472 ns
10mA GCLK
tco 1.459 1.647 2.171 2.265 2.495 2.499 2.536 2.376 2.606 2.608 2.524 ns
PLL
GCLK tco 3.405 3.676 5.431 5.629 6.172 6.019 6.396 5.767 6.306 6.156 6.476 ns
12mA GCLK
tco 1.456 1.645 2.172 2.268 2.498 2.502 2.539 2.379 2.610 2.612 2.528 ns
PLL
DIFFERENTIAL GCLK tco 3.406 3.676 5.421 5.617 6.159 6.006 6.383 5.754 6.292 6.142 6.462 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 1.457 1.645 2.162 2.256 2.485 2.489 2.526 2.366 2.596 2.598 2.514 ns
PLL
GCLK tco 3.455 3.729 5.492 5.690 6.235 6.082 6.459 5.828 6.368 6.218 6.538 ns
4mA GCLK
tco 1.506 1.698 2.233 2.329 2.561 2.565 2.602 2.440 2.672 2.674 2.590 ns
PLL
DIFFERENTIAL GCLK tco 3.431 3.705 5.474 5.673 6.218 6.065 6.442 5.811 6.353 6.203 6.523 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 1.482 1.674 2.215 2.312 2.544 2.548 2.585 2.423 2.657 2.659 2.575 ns
PLL
GCLK tco 3.414 3.686 5.452 5.651 6.196 6.043 6.420 5.789 6.331 6.181 6.501 ns
8mA GCLK
tco 1.465 1.655 2.193 2.290 2.522 2.526 2.563 2.401 2.635 2.637 2.553 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL = VCCL = VCCL = VCCL = VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.459 3.732 5.492 5.690 6.234 6.081 6.458 5.828 6.368 6.218 6.538 ns
4mA GCLK
tco 1.510 1.701 2.233 2.329 2.560 2.564 2.601 2.440 2.672 2.674 2.590 ns
PLL
GCLK tco 3.444 3.717 5.478 5.675 6.219 6.066 6.443 5.813 6.353 6.203 6.523 ns
6mA GCLK
tco 1.495 1.686 2.219 2.314 2.545 2.549 2.586 2.425 2.657 2.659 2.575 ns
PLL
DIFFERENTIAL GCLK tco 3.433 3.706 5.473 5.672 6.216 6.063 6.440 5.810 6.351 6.201 6.521 ns
1.8-V 8mA GCLK
SSTL CLASS I tco 1.484 1.675 2.214 2.311 2.542 2.546 2.583 2.422 2.655 2.657 2.573 ns
PLL
GCLK tco 3.413 3.686 5.450 5.648 6.193 6.040 6.417 5.787 6.327 6.177 6.497 ns
10mA GCLK
tco 1.464 1.655 2.191 2.287 2.519 2.523 2.560 2.399 2.631 2.633 2.549 ns
PLL
GCLK tco 3.410 3.682 5.446 5.645 6.189 6.036 6.413 5.783 6.324 6.174 6.494 ns
12mA GCLK
tco 1.461 1.651 2.187 2.284 2.515 2.519 2.556 2.395 2.628 2.630 2.546 ns
PLL
GCLK tco 3.415 3.686 5.437 5.633 6.175 6.022 6.399 5.770 6.308 6.158 6.478 ns
8mA GCLK
DIFFERENTIAL tco 1.466 1.655 2.178 2.272 2.501 2.505 2.542 2.382 2.612 2.614 2.530 ns
PLL
1.8-V
SSTL CLASS II GCLK tco 3.408 3.679 5.436 5.634 6.178 6.025 6.402 5.773 6.313 6.163 6.483 ns
16mA GCLK
tco 1.459 1.648 2.177 2.273 2.504 2.508 2.545 2.385 2.617 2.619 2.535 ns
PLL
GCLK tco 3.446 3.718 5.474 5.671 6.214 6.061 6.438 5.809 6.348 6.198 6.518 ns
8mA GCLK
DIFFERENTIAL tco 1.487 1.677 2.205 2.300 2.530 2.534 2.571 2.411 2.642 2.644 2.560 ns
PLL
2.5-V
SSTL CLASS I GCLK tco 3.428 3.701 5.459 5.656 6.199 6.046 6.423 5.794 6.333 6.183 6.503 ns
12mA GCLK
tco 1.469 1.660 2.190 2.285 2.515 2.519 2.556 2.396 2.627 2.629 2.545 ns
PLL
DIFFERENTIAL GCLK tco 3.414 3.685 5.436 5.632 6.174 6.021 6.398 5.770 6.308 6.158 6.478 ns
2.5-V 16mA GCLK
SSTL CLASS II tco 1.455 1.644 2.167 2.261 2.490 2.494 2.531 2.372 2.602 2.604 2.520 ns
PLL
Table 1–99 and Table 1–100 list the EP3SL340 regional (RCLK) clock adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–99 lists the EP3SL340 column pin delay adders when using the regional clock.
Table 1–99. EP3SL340 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.318 0.171 0.255 0.247 0.257 0.244 0.369 0.37 0.253 0.232 0.336 ns
RCLK PLL input adder 2.716 2.739 4.379 4.508 4.926 4.717 5.376 4.508 4.94 4.89 5.434 ns
RCLK output adder -0.341 -0.107 -0.18 -0.169 -0.171 -0.167 -0.362 -0.03 -0.043 -0.034 -0.287 ns
RCLK PLL output adder -2.36 -2.128 -3.344 -3.384 -3.571 -3.487 -3.545 -3.246 -3.636 -3.357 -3.544 ns
Table 1–100 lists the EP3SL340 row pin delay adders when using the regional clock.
Table 1–100. EP3SL340 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.075 0.079 0.133 0.124 0.125 0.124 0.307 0.117 0.116 0.117 0.31 ns
RCLK PLL input adder 0.157 0.151 0.262 0.274 0.306 0.288 0.464 0.268 0.291 0.278 0.46 ns
RCLK output adder -0.052 -0.066 -0.107 -0.098 -0.127 -0.129 -0.282 -0.082 -0.118 -0.085 -0.285 ns
RCLK PLL output adder -0.157 -0.139 -0.232 -0.248 -0.272 -0.259 -0.422 -0.252 -0.256 -0.244 -0.444 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.754 -0.753 -1.062 -1.167 -1.373 -1.328 -1.604 -1.167 -1.373 -1.328 -1.604 ns
GCLK
th 0.881 0.880 1.240 1.368 1.594 1.537 1.814 1.368 1.594 1.537 1.814 ns
3.0-V LVTTL
GCLK tsu -1.048 -1.048 -1.465 -1.595 -1.829 -1.771 -2.037 -1.595 -1.829 -1.771 -2.037 ns
PLL th 1.301 1.301 1.835 2.011 2.291 2.206 2.483 2.011 2.291 2.206 2.483 ns
tsu -0.754 -0.753 -1.062 -1.167 -1.373 -1.328 -1.604 -1.167 -1.373 -1.328 -1.604 ns
GCLK
3.0-V th 0.881 0.880 1.240 1.368 1.594 1.537 1.814 1.368 1.594 1.537 1.814 ns
LVCMOS tsu -1.048 -1.048 -1.465 -1.595 -1.829 -1.771 -2.037 -1.595 -1.829 -1.771 -2.037 ns
GCLK
PLL th 1.301 1.301 1.835 2.011 2.291 2.206 2.483 2.011 2.291 2.206 2.483 ns
tsu -0.749 -0.748 -1.071 -1.179 -1.392 -1.347 -1.623 -1.179 -1.392 -1.347 -1.623 ns
GCLK
th 0.876 0.875 1.249 1.380 1.613 1.556 1.833 1.380 1.613 1.556 1.833 ns
2.5 V
GCLK tsu -1.043 -1.043 -1.474 -1.607 -1.848 -1.790 -2.056 -1.607 -1.848 -1.790 -2.056 ns
PLL th 1.296 1.296 1.844 2.023 2.310 2.225 2.502 2.023 2.310 2.225 2.502 ns
tsu -0.769 -0.768 -1.111 -1.215 -1.390 -1.345 -1.621 -1.215 -1.390 -1.345 -1.621 ns
GCLK
th 0.898 0.897 1.289 1.416 1.611 1.554 1.831 1.416 1.611 1.554 1.831 ns
1.8 V
GCLK tsu -1.065 -1.065 -1.514 -1.643 -1.846 -1.788 -2.054 -1.643 -1.846 -1.788 -2.054 ns
PLL th 1.320 1.320 1.884 2.059 2.308 2.223 2.500 2.059 2.308 2.223 2.500 ns
tsu -0.759 -0.758 -1.088 -1.183 -1.320 -1.275 -1.551 -1.183 -1.320 -1.275 -1.551 ns
GCLK
th 0.888 0.887 1.266 1.384 1.541 1.484 1.761 1.384 1.541 1.484 1.761 ns
1.5 V
GCLK tsu -1.055 -1.055 -1.491 -1.611 -1.776 -1.718 -1.984 -1.611 -1.776 -1.718 -1.984 ns
PLL th 1.310 1.310 1.861 2.027 2.238 2.153 2.430 2.027 2.238 2.153 2.430 ns
tsu -0.707 -0.706 -1.011 -1.084 -1.164 -1.119 -1.395 -1.084 -1.164 -1.119 -1.395 ns
GCLK
th 0.836 0.835 1.189 1.285 1.385 1.328 1.605 1.285 1.385 1.328 1.605 ns
1.2 V
GCLK tsu -1.003 -1.003 -1.414 -1.512 -1.620 -1.562 -1.828 -1.512 -1.620 -1.562 -1.828 ns
PLL th 1.258 1.258 1.784 1.928 2.082 1.997 2.274 1.928 2.082 1.997 2.274 ns
tsu -0.678 -0.677 -0.983 -1.068 -1.166 -1.121 -1.397 -1.068 -1.166 -1.121 -1.397 ns
GCLK
SSTL-2 th 0.807 0.806 1.161 1.269 1.387 1.330 1.607 1.269 1.387 1.330 1.607 ns
CLASS I tsu -0.974 -0.974 -1.386 -1.496 -1.622 -1.564 -1.830 -1.496 -1.622 -1.564 -1.830 ns
GCLK
PLL th 1.229 1.229 1.756 1.912 2.084 1.999 2.276 1.912 2.084 1.999 2.276 ns
tsu -0.678 -0.677 -0.983 -1.068 -1.166 -1.121 -1.397 -1.068 -1.166 -1.121 -1.397 ns
GCLK
SSTL-2 th 0.807 0.806 1.161 1.269 1.387 1.330 1.607 1.269 1.387 1.330 1.607 ns
CLASS II tsu -0.974 -0.974 -1.386 -1.496 -1.622 -1.564 -1.830 -1.496 -1.622 -1.564 -1.830 ns
GCLK
PLL th 1.229 1.229 1.756 1.912 2.084 1.999 2.276 1.912 2.084 1.999 2.276 ns
tsu -0.672 -0.671 -0.971 -1.063 -1.166 -1.119 -1.398 -1.063 -1.166 -1.119 -1.398 ns
GCLK
SSTL-18 th 0.801 0.800 1.148 1.261 1.384 1.327 1.603 1.261 1.384 1.327 1.603 ns
CLASS I tsu -0.968 -0.968 -1.373 -1.488 -1.619 -1.559 -1.828 -1.488 -1.619 -1.559 -1.828 ns
GCLK
PLL th 1.223 1.223 1.743 1.901 2.078 1.993 2.269 1.901 2.078 1.993 2.269 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.672 -0.671 -0.971 -1.063 -1.166 -1.119 -1.398 -1.063 -1.166 -1.119 -1.398 ns
GCLK
SSTL-18 th 0.801 0.800 1.148 1.261 1.384 1.327 1.603 1.261 1.384 1.327 1.603 ns
CLASS II tsu -0.968 -0.968 -1.373 -1.488 -1.619 -1.559 -1.828 -1.488 -1.619 -1.559 -1.828 ns
GCLK
PLL th 1.223 1.223 1.743 1.901 2.078 1.993 2.269 1.901 2.078 1.993 2.269 ns
tsu -0.661 -0.660 -0.962 -1.052 -1.147 -1.100 -1.379 -1.052 -1.147 -1.100 -1.379 ns
GCLK
SSTL-15 th 0.790 0.789 1.138 1.250 1.365 1.308 1.584 1.250 1.365 1.308 1.584 ns
CLASS I tsu -0.957 -0.957 -1.362 -1.477 -1.600 -1.540 -1.809 -1.477 -1.600 -1.540 -1.809 ns
GCLK
PLL th 1.212 1.212 1.731 1.890 2.059 1.974 2.250 1.890 2.059 1.974 2.250 ns
tsu -0.661 -0.660 -0.962 -1.052 -1.147 -1.100 -1.379 -1.052 -1.147 -1.100 -1.379 ns
GCLK
1.8-V HSTL th 0.790 0.789 1.138 1.250 1.365 1.308 1.584 1.250 1.365 1.308 1.584 ns
CLASS I tsu -0.957 -0.957 -1.362 -1.477 -1.600 -1.540 -1.809 -1.477 -1.600 -1.540 -1.809 ns
GCLK
PLL th 1.212 1.212 1.731 1.890 2.059 1.974 2.250 1.890 2.059 1.974 2.250 ns
tsu -0.672 -0.671 -0.971 -1.063 -1.166 -1.119 -1.398 -1.063 -1.166 -1.119 -1.398 ns
GCLK
1.8-V HSTL th 0.801 0.800 1.148 1.261 1.384 1.327 1.603 1.261 1.384 1.327 1.603 ns
CLASS II tsu -0.968 -0.968 -1.373 -1.488 -1.619 -1.559 -1.828 -1.488 -1.619 -1.559 -1.828 ns
GCLK
PLL th 1.223 1.223 1.743 1.901 2.078 1.993 2.269 1.901 2.078 1.993 2.269 ns
tsu -0.672 -0.671 -0.971 -1.063 -1.166 -1.119 -1.398 -1.063 -1.166 -1.119 -1.398 ns
GCLK
1.5-V HSTL th 0.801 0.800 1.148 1.261 1.384 1.327 1.603 1.261 1.384 1.327 1.603 ns
CLASS I tsu -0.968 -0.968 -1.373 -1.488 -1.619 -1.559 -1.828 -1.488 -1.619 -1.559 -1.828 ns
GCLK
PLL th 1.223 1.223 1.743 1.901 2.078 1.993 2.269 1.901 2.078 1.993 2.269 ns
tsu -0.661 -0.660 -0.962 -1.052 -1.147 -1.100 -1.379 -1.052 -1.147 -1.100 -1.379 ns
GCLK
1.5-V HSTL th 0.790 0.789 1.138 1.250 1.365 1.308 1.584 1.250 1.365 1.308 1.584 ns
CLASS II tsu -0.957 -0.957 -1.362 -1.477 -1.600 -1.540 -1.809 -1.477 -1.600 -1.540 -1.809 ns
GCLK
PLL th 1.212 1.212 1.731 1.890 2.059 1.974 2.250 1.890 2.059 1.974 2.250 ns
tsu -0.661 -0.660 -0.962 -1.052 -1.147 -1.100 -1.379 -1.052 -1.147 -1.100 -1.379 ns
GCLK
1.2-V HSTL th 0.790 0.789 1.138 1.250 1.365 1.308 1.584 1.250 1.365 1.308 1.584 ns
CLASS I tsu -0.957 -0.957 -1.362 -1.477 -1.600 -1.540 -1.809 -1.477 -1.600 -1.540 -1.809 ns
GCLK
PLL th 1.212 1.212 1.731 1.890 2.059 1.974 2.250 1.890 2.059 1.974 2.250 ns
tsu -0.649 -0.648 -0.952 -1.041 -1.131 -1.084 -1.363 -1.041 -1.131 -1.084 -1.363 ns
GCLK
1.2-V HSTL th 0.778 0.777 1.128 1.239 1.349 1.292 1.568 1.239 1.349 1.292 1.568 ns
CLASS II tsu -0.945 -0.945 -1.352 -1.466 -1.584 -1.524 -1.793 -1.466 -1.584 -1.524 -1.793 ns
GCLK
PLL th 1.200 1.200 1.721 1.879 2.043 1.958 2.234 1.879 2.043 1.958 2.234 ns
tsu -0.649 -0.648 -0.952 -1.041 -1.131 -1.084 -1.363 -1.041 -1.131 -1.084 -1.363 ns
GCLK
th 0.778 0.777 1.128 1.239 1.349 1.292 1.568 1.239 1.349 1.292 1.568 ns
3.0-V PCI
GCLK tsu -0.945 -0.945 -1.352 -1.466 -1.584 -1.524 -1.793 -1.466 -1.584 -1.524 -1.793 ns
PLL th 1.200 1.200 1.721 1.879 2.043 1.958 2.234 1.879 2.043 1.958 2.234 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.754 -0.753 -1.062 -1.167 -1.373 -1.328 -1.604 -1.167 -1.373 -1.328 -1.604 ns
GCLK
3.0-V | th 0.881 0.880 1.240 1.368 1.594 1.537 1.814 1.368 1.594 1.537 1.814 ns
PCI-X tsu -1.048 -1.048 -1.465 -1.595 -1.829 -1.771 -2.037 -1.595 -1.829 -1.771 -2.037 ns
GCLK
PLL th 1.301 1.301 1.835 2.011 2.291 2.206 2.483 2.011 2.291 2.206 2.483 ns
Table 1–102 lists the EP3SE50 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu 0.900 0.897 1.355 1.463 1.580 1.515 1.788 1.487 1.597 1.534 1.828 ns
GCLK
th 0.976 1.024 -1.169 -1.255 -1.346 -1.296 -1.570 -1.268 -1.353 -1.306 -1.609 ns
1.5 V
GCLK tsu -0.799 -0.843 1.677 1.911 2.133 2.015 2.045 1.913 2.138 2.020 2.097 ns
PLL th 0.960 0.950 1.414 1.545 1.686 1.617 1.909 1.563 1.701 1.634 1.942 ns
tsu 0.916 0.971 -1.131 -1.230 -1.344 -1.293 -1.568 -1.239 -1.349 -1.300 -1.604 ns
GCLK
th -0.833 -0.872 1.651 -1.230 -1.344 -1.293 -1.568 -1.239 -1.349 -1.300 -1.604 ns
1.2 V
GCLK tsu 1.015 1.014 1.317 1.436 1.575 1.510 1.782 1.455 1.590 1.527 1.819 ns
PLL th 0.947 0.999 -1.131 1.890 2.108 1.991 2.019 1.888 2.108 1.990 2.067 ns
tsu -0.833 -0.872 1.651 1.890 2.108 1.991 2.019 1.888 2.108 1.990 2.067 ns
GCLK
SSTL-2 th 1.015 1.014 1.317 -1.442 -1.607 -1.521 -1.544 -1.432 -1.599 -1.511 -1.589 ns
CLASS I tsu 0.947 0.999 -1.131 -1.230 -1.344 -1.293 -1.568 -1.239 -1.349 -1.300 -1.604 ns
GCLK
PLL th -0.773 -0.808 1.651 1.436 1.575 1.510 1.782 1.455 1.590 1.527 1.819 ns
tsu 0.986 0.985 1.317 1.890 2.108 1.991 2.019 1.888 2.108 1.990 2.067 ns
GCLK
SSTL-2 th 0.890 0.936 -1.131 -1.442 -1.607 -1.521 -1.544 -1.432 -1.599 -1.511 -1.589 ns
CLASS II tsu -0.773 -0.808 -1.267 -1.220 -1.326 -1.275 -1.550 -1.228 -1.332 -1.283 -1.587 ns
GCLK
PLL th 0.986 0.985 -1.118 1.426 1.557 1.492 1.764 1.444 1.573 1.510 1.802 ns
tsu 0.890 0.936 1.305 1.900 2.126 2.009 2.037 1.899 2.125 2.007 2.084 ns
GCLK
SSTL-18 th -0.759 -0.796 1.651 1.900 2.126 2.009 2.037 1.899 2.125 2.007 2.084 ns
CLASS I tsu 1.000 0.997 1.317 -1.452 -1.625 -1.539 -1.562 -1.443 -1.616 -1.528 -1.606 ns
GCLK
PLL th 0.876 0.924 -1.131 -1.220 -1.326 -1.275 -1.550 -1.228 -1.332 -1.283 -1.587 ns
tsu -0.773 -0.808 1.651 -1.442 -1.607 -1.521 -1.544 -1.432 -1.599 -1.511 -1.589 ns
GCLK
SSTL-18 th 0.986 0.985 1.317 -1.230 -1.344 -1.293 -1.568 -1.239 -1.349 -1.300 -1.604 ns
CLASS II tsu 0.890 0.936 -1.131 1.436 1.575 1.510 1.782 1.455 1.590 1.527 1.819 ns
GCLK
PLL th -0.773 -0.808 -1.267 1.890 2.108 1.991 2.019 1.888 2.108 1.990 2.067 ns
tsu 0.986 0.985 -1.118 -1.442 -1.607 -1.521 -1.544 -1.432 -1.599 -1.511 -1.589 ns
GCLK
SSTL-15 th 0.890 0.936 1.305 -1.230 -1.344 -1.293 -1.568 -1.239 -1.349 -1.300 -1.604 ns
CLASS I tsu -0.759 -0.796 1.666 1.436 1.575 1.510 1.782 1.455 1.590 1.527 1.819 ns
GCLK
PLL th 1.000 0.997 -1.267 1.890 2.108 1.991 2.019 1.888 2.108 1.990 2.067 ns
tsu 0.876 0.924 -1.118 -1.442 -1.607 -1.521 -1.544 -1.432 -1.599 -1.511 -1.589 ns
GCLK
1.8-V HSTL th -0.759 -0.796 -1.276 1.900 2.126 2.009 2.037 1.899 2.125 2.007 2.084 ns
CLASS I tsu 1.000 0.997 -1.109 -1.452 -1.625 -1.539 -1.562 -1.443 -1.616 -1.528 -1.606 ns
GCLK
PLL th 0.876 0.924 1.296 -1.220 -1.326 -1.275 -1.550 -1.228 -1.332 -1.283 -1.587 ns
tsu -0.750 -0.784 1.675 1.426 1.557 1.492 1.764 1.444 1.573 1.510 1.802 ns
GCLK
1.8-V HSTL th 1.009 1.009 -1.276 1.900 2.126 2.009 2.037 1.899 2.125 2.007 2.084 ns
CLASS II tsu 0.867 0.912 -1.109 -1.452 -1.625 -1.539 -1.562 -1.443 -1.616 -1.528 -1.606 ns
GCLK
PLL th -0.750 -0.784 -1.276 1.910 2.142 2.025 2.053 1.908 2.141 2.023 2.100 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu 1.009 1.009 -1.308 -1.462 -1.641 -1.555 -1.578 -1.452 -1.632 -1.544 -1.622 ns
GCLK
1.5-V HSTL th 0.867 0.912 1.600 -1.210 -1.310 -1.259 -1.534 -1.219 -1.316 -1.267 -1.571 ns
CLASS I tsu -0.902 -0.937 1.491 1.416 1.541 1.476 1.748 1.435 1.557 1.494 1.786 ns
GCLK
PLL th 1.015 1.063 -1.308 1.910 2.142 2.025 2.053 1.908 2.141 2.023 2.100 ns
tsu 0.946 0.949 1.600 -1.462 -1.641 -1.555 -1.578 -1.452 -1.632 -1.544 -1.622 ns
GCLK
1.5-V HSTL th -0.697 -0.689 1.491 -1.210 -1.310 -1.259 -1.534 -1.219 -1.316 -1.267 -1.571 ns
CLASS II tsu -0.902 -0.937 1.491 1.416 1.541 1.476 1.748 1.435 1.557 1.494 1.786 ns
GCLK
PLL th 1.015 1.063 1.491 1.910 2.142 2.025 2.053 1.908 2.141 2.023 2.100 ns
tsu 0.946 0.949 1.491 -1.462 -1.641 -1.555 -1.578 -1.452 -1.632 -1.544 -1.622 ns
GCLK
1.2-V HSTL th -0.697 -0.689 1.491 -1.210 -1.310 -1.259 -1.534 -1.219 -1.316 -1.267 -1.571 ns
CLASS I tsu -0.896 -0.926 -1.311 -1.433 -1.658 -1.605 -1.896 -1.451 -1.663 -1.612 -1.927 ns
GCLK
PLL th 1.009 1.052 1.597 1.816 1.931 1.813 1.843 1.809 1.936 1.818 1.895 ns
tsu 0.952 0.960 1.494 1.640 1.888 1.819 2.111 1.667 1.903 1.836 2.144 ns
GCLK
1.2-V HSTL th -0.703 -0.700 -1.311 -1.433 -1.658 -1.605 -1.896 -1.451 -1.663 -1.612 -1.927 ns
CLASS II tsu -0.896 -0.926 -1.311 -1.433 -1.658 -1.605 -1.896 -1.451 -1.663 -1.612 -1.927 ns
GCLK
PLL th 1.009 1.052 1.597 1.816 1.931 1.813 1.843 1.809 1.936 1.818 1.895 ns
tsu 0.952 0.960 1.494 1.640 1.888 1.819 2.111 1.667 1.903 1.836 2.144 ns
GCLK
th -0.703 -0.700 -1.308 -1.434 -1.661 -1.608 -1.899 -1.450 -1.668 -1.617 -1.932 ns
3.0-V PCI
GCLK tsu -0.902 -0.937 1.491 1.641 1.891 1.822 2.114 1.666 1.908 1.841 2.149 ns
PLL th 1.015 1.063 -1.308 -1.434 -1.661 -1.608 -1.899 -1.450 -1.668 -1.617 -1.932 ns
tsu 0.946 0.949 1.600 1.815 1.928 1.810 1.840 1.810 1.931 1.813 1.890 ns
GCLK
3.0-V th -0.697 -0.689 1.491 1.641 1.891 1.822 2.114 1.666 1.908 1.841 2.149 ns
PCI-X tsu -0.902 -0.937 1.500 1.654 1.906 1.837 2.129 1.675 1.918 1.851 2.159 ns
GCLK
PLL th 1.015 1.063 -1.317 -1.447 -1.676 -1.623 -1.914 -1.459 -1.678 -1.627 -1.942 ns
Table 1–103 lists the EP3SE50 column pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.293 3.293 4.559 4.925 5.402 5.282 5.365 4.925 5.402 5.282 5.365 ns
4mA GCLK
tco 3.550 3.524 4.903 5.329 5.819 5.674 5.973 5.329 5.819 5.674 5.973 ns
PLL
GCLK tco 3.176 3.176 4.405 4.763 5.232 5.112 5.252 4.763 5.232 5.112 5.252 ns
8mA GCLK
tco 3.483 3.457 4.794 5.218 5.706 5.561 5.860 5.218 5.706 5.561 5.860 ns
3.3-V PLL
LVTTL GCLK tco 3.081 3.081 4.268 4.620 5.080 4.960 5.162 4.620 5.080 4.960 5.162 ns
12mA GCLK
tco 3.397 3.371 4.691 5.120 5.614 5.469 5.768 5.120 5.614 5.469 5.768 ns
PLL
GCLK tco 3.041 3.051 4.243 4.596 5.055 4.935 5.120 4.596 5.055 4.935 5.120 ns
16mA GCLK
tco 3.390 3.364 4.674 5.092 5.573 5.428 5.727 5.092 5.573 5.428 5.727 ns
PLL
GCLK tco 3.296 3.296 4.563 4.937 5.416 5.296 5.373 4.937 5.416 5.296 5.373 ns
4mA GCLK
tco 3.556 3.530 4.908 5.334 5.826 5.681 5.980 5.334 5.826 5.681 5.980 ns
PLL
GCLK tco 3.096 3.096 4.273 4.625 5.086 4.966 5.172 4.625 5.086 4.966 5.172 ns
8mA GCLK
tco 3.401 3.375 4.701 5.137 5.625 5.480 5.779 5.137 5.625 5.480 5.779 ns
3.3-V PLL
LVCMOS GCLK tco 3.059 3.069 4.236 4.594 5.055 4.935 5.148 4.594 5.055 4.935 5.148 ns
12mA GCLK
tco 3.408 3.382 4.695 5.116 5.599 5.454 5.753 5.116 5.599 5.454 5.753 ns
PLL
GCLK tco 3.043 3.053 4.212 4.553 5.025 4.904 5.119 4.553 5.025 4.904 5.119 ns
16mA GCLK
tco 3.392 3.366 4.672 5.090 5.570 5.425 5.724 5.090 5.570 5.425 5.724 ns
PLL
GCLK tco 3.238 3.238 4.508 4.876 5.367 5.247 5.356 4.876 5.367 5.247 5.356 ns
4mA GCLK
tco 3.514 3.488 4.870 5.298 5.786 5.641 5.940 5.298 5.786 5.641 5.940 ns
PLL
GCLK tco 3.108 3.108 4.339 4.704 5.205 5.086 5.239 4.704 5.205 5.086 5.239 ns
8mA GCLK
tco 3.403 3.377 4.740 5.164 5.648 5.504 5.801 5.164 5.648 5.504 5.801 ns
3.0-V PLL
LVTTL GCLK tco 3.033 3.033 4.250 4.605 5.103 4.984 5.175 4.605 5.103 4.984 5.175 ns
12mA GCLK
tco 3.367 3.341 4.677 5.095 5.574 5.430 5.728 5.095 5.574 5.430 5.728 ns
PLL
GCLK tco 3.000 3.010 4.188 4.536 5.053 4.934 5.152 4.536 5.053 4.934 5.152 ns
16mA GCLK
tco 3.349 3.323 4.648 5.067 5.546 5.401 5.700 5.067 5.546 5.401 5.700 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.146 3.146 4.397 4.757 5.259 5.140 5.275 4.757 5.259 5.140 5.275 ns
4mA GCLK
tco 3.428 3.402 4.774 5.197 5.683 5.539 5.836 5.197 5.683 5.539 5.836 ns
PLL
GCLK tco 3.009 3.010 4.202 4.558 5.077 4.958 5.165 4.558 5.077 4.958 5.165 ns
8mA GCLK
tco 3.349 3.323 4.651 5.068 5.548 5.404 5.701 5.068 5.548 5.404 5.701 ns
3.0-V PLL
LVCMOS GCLK tco 2.995 3.005 4.183 4.524 5.009 4.889 5.134 4.524 5.009 4.889 5.134 ns
12mA GCLK
tco 3.344 3.318 4.643 5.061 5.540 5.395 5.694 5.061 5.540 5.395 5.694 ns
PLL
GCLK tco 2.986 2.996 4.169 4.509 5.007 4.888 5.139 4.509 5.007 4.888 5.139 ns
16mA GCLK
tco 3.335 3.309 4.629 5.046 5.525 5.380 5.679 5.046 5.525 5.380 5.679 ns
PLL
GCLK tco 3.293 3.293 4.651 5.036 5.541 5.422 5.483 5.036 5.541 5.422 5.483 ns
4mA GCLK
tco 3.550 3.524 4.981 5.424 5.930 5.786 6.084 5.424 5.930 5.786 6.084 ns
PLL
GCLK tco 3.168 3.168 4.478 4.852 5.344 5.225 5.359 4.852 5.344 5.225 5.359 ns
8mA GCLK
tco 3.450 3.424 4.862 5.298 5.798 5.654 5.951 5.298 5.798 5.654 5.951 ns
PLL
2.5 V
GCLK tco 3.068 3.068 4.349 4.716 5.204 5.085 5.281 4.716 5.204 5.085 5.281 ns
12mA GCLK
tco 3.406 3.380 4.775 5.208 5.703 5.558 5.857 5.208 5.703 5.558 5.857 ns
PLL
GCLK tco 3.041 3.041 4.280 4.649 5.154 5.035 5.224 4.649 5.154 5.035 5.224 ns
16mA GCLK
tco 3.368 3.342 4.736 5.165 5.660 5.515 5.814 5.165 5.660 5.515 5.814 ns
PLL
GCLK tco 3.556 3.556 5.088 5.519 6.078 5.958 5.880 5.519 6.078 5.958 5.880 ns
2mA GCLK
tco 3.741 3.715 5.303 5.784 6.335 6.190 6.489 5.784 6.335 6.190 6.489 ns
PLL
GCLK tco 3.315 3.315 4.728 5.113 5.617 5.498 5.549 5.113 5.617 5.498 5.549 ns
4mA GCLK
tco 3.560 3.534 5.024 5.475 5.987 5.843 6.140 5.475 5.987 5.843 6.140 ns
PLL
GCLK tco 3.197 3.197 4.538 4.923 5.443 5.323 5.437 4.923 5.443 5.323 5.437 ns
6mA GCLK
tco 3.478 3.452 4.917 5.360 5.877 5.732 6.031 5.360 5.877 5.732 6.031 ns
PLL
1.8 V
GCLK tco 3.143 3.143 4.438 4.825 5.331 5.211 5.360 4.825 5.331 5.211 5.360 ns
8mA GCLK
tco 3.458 3.432 4.858 5.307 5.811 5.666 5.965 5.307 5.811 5.666 5.965 ns
PLL
GCLK tco 3.075 3.075 4.342 4.727 5.217 5.097 5.291 4.727 5.217 5.097 5.291 ns
10mA GCLK
tco 3.395 3.369 4.797 5.232 5.730 5.585 5.884 5.232 5.730 5.585 5.884 ns
PLL
GCLK tco 3.051 3.051 4.317 4.680 5.179 5.059 5.258 4.680 5.179 5.059 5.258 ns
12mA GCLK
tco 3.377 3.351 4.777 5.210 5.707 5.562 5.861 5.210 5.707 5.562 5.861 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.464 3.464 4.981 5.429 5.995 5.875 5.825 5.429 5.995 5.875 5.825 ns
2mA GCLK
tco 3.687 3.661 5.231 5.717 6.273 6.128 6.427 5.717 6.273 6.128 6.427 ns
PLL
GCLK tco 3.181 3.181 4.527 4.916 5.439 5.319 5.439 4.916 5.439 5.319 5.439 ns
4mA GCLK
tco 3.475 3.449 4.912 5.360 5.881 5.736 6.035 5.360 5.881 5.736 6.035 ns
PLL
GCLK tco 3.103 3.111 4.427 4.808 5.322 5.202 5.362 4.808 5.322 5.202 5.362 ns
6mA GCLK
tco 3.450 3.424 4.845 5.300 5.814 5.669 5.968 5.300 5.814 5.669 5.968 ns
PLL
1.5 V
GCLK tco 3.093 3.100 4.409 4.787 5.290 5.170 5.345 4.787 5.290 5.170 5.345 ns
8mA GCLK
tco 3.439 3.413 4.828 5.275 5.794 5.649 5.948 5.275 5.794 5.649 5.948 ns
PLL
GCLK tco 3.063 3.063 4.330 4.709 5.208 5.088 5.282 4.709 5.208 5.088 5.282 ns
10mA GCLK
tco 3.384 3.358 4.790 5.225 5.724 5.579 5.878 5.225 5.724 5.579 5.878 ns
PLL
GCLK tco 3.030 3.040 4.314 4.676 5.168 5.047 5.261 4.676 5.168 5.047 5.261 ns
12mA GCLK
tco 3.379 3.353 4.774 5.213 5.713 5.568 5.867 5.213 5.713 5.568 5.867 ns
PLL
GCLK tco 3.354 3.354 4.868 5.328 5.915 5.795 5.765 5.328 5.915 5.795 5.765 ns
2mA GCLK
tco 3.603 3.577 5.157 5.652 6.217 6.072 6.371 5.652 6.217 6.072 6.371 ns
PLL
GCLK tco 3.181 3.181 4.546 4.947 5.486 5.366 5.480 4.947 5.486 5.366 5.480 ns
4mA GCLK
tco 3.480 3.454 4.932 5.391 5.931 5.786 6.085 5.391 5.931 5.786 6.085 ns
PLL
1.2 V
GCLK tco 3.094 3.103 4.419 4.806 5.331 5.211 5.366 4.806 5.331 5.211 5.366 ns
6mA GCLK
tco 3.442 3.416 4.839 5.301 5.818 5.673 5.972 5.301 5.818 5.673 5.972 ns
PLL
GCLK tco 3.070 3.070 4.351 4.746 5.240 5.120 5.319 4.746 5.240 5.120 5.319 ns
8mA GCLK
tco 3.395 3.369 4.811 5.252 5.762 5.617 5.916 5.252 5.762 5.617 5.916 ns
PLL
GCLK tco 3.058 3.058 4.312 4.677 5.188 5.068 5.276 4.677 5.188 5.068 5.276 ns
8mA GCLK
tco 3.395 3.369 4.768 5.200 5.693 5.548 5.847 5.200 5.693 5.548 5.847 ns
PLL
GCLK tco 3.055 3.055 4.305 4.670 5.193 5.074 5.271 4.670 5.193 5.074 5.271 ns
SSTL-2
10mA GCLK
CLASS I tco 3.392 3.366 4.765 5.196 5.689 5.544 5.843 5.196 5.689 5.544 5.843 ns
PLL
GCLK tco 3.043 3.051 4.305 4.666 5.174 5.054 5.272 4.666 5.174 5.054 5.272 ns
12mA GCLK
tco 3.390 3.364 4.765 5.197 5.690 5.545 5.844 5.197 5.690 5.545 5.844 ns
PLL
GCLK tco 3.032 3.042 4.291 4.644 5.140 5.020 5.256 4.644 5.140 5.020 5.256 ns
SSTL-2
16mA GCLK
CLASS II tco 3.381 3.355 4.751 5.182 5.675 5.530 5.829 5.182 5.675 5.530 5.829 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.074 3.074 4.324 4.692 5.215 5.096 5.295 4.692 5.215 5.096 5.295 ns
4mA GCLK
tco 3.402 3.376 4.780 5.214 5.709 5.564 5.863 5.214 5.709 5.564 5.863 ns
PLL
GCLK tco 3.057 3.059 4.321 4.689 5.205 5.085 5.293 4.689 5.205 5.085 5.293 ns
6mA GCLK
tco 3.398 3.372 4.778 5.212 5.707 5.562 5.861 5.212 5.707 5.562 5.861 ns
PLL
GCLK tco 3.045 3.048 4.308 4.669 5.197 5.078 5.291 4.669 5.197 5.078 5.291 ns
SSTL-18
8mA GCLK
CLASS I tco 3.387 3.361 4.768 5.202 5.698 5.553 5.852 5.202 5.698 5.553 5.852 ns
PLL
GCLK tco 3.027 3.037 4.296 4.652 5.159 5.039 5.266 4.652 5.159 5.039 5.266 ns
10mA GCLK
tco 3.376 3.350 4.756 5.189 5.685 5.540 5.839 5.189 5.685 5.540 5.839 ns
PLL
GCLK tco 3.027 3.037 4.295 4.652 5.159 5.039 5.265 4.652 5.159 5.039 5.265 ns
12mA GCLK
tco 3.376 3.350 4.755 5.189 5.685 5.540 5.839 5.189 5.685 5.540 5.839 ns
PLL
GCLK tco 3.033 3.043 4.294 4.649 5.159 5.039 5.268 4.649 5.159 5.039 5.268 ns
8mA GCLK
tco 3.382 3.356 4.754 5.186 5.680 5.535 5.834 5.186 5.680 5.535 5.834 ns
SSTL-18 PLL
CLASS II GCLK tco 3.036 3.046 4.302 4.658 5.164 5.044 5.291 4.658 5.164 5.044 5.291 ns
16mA GCLK
tco 3.385 3.359 4.762 5.196 5.692 5.547 5.846 5.196 5.692 5.547 5.846 ns
PLL
GCLK tco 3.068 3.068 4.338 4.709 5.230 5.110 5.310 4.709 5.230 5.110 5.310 ns
4mA GCLK
tco 3.406 3.380 4.790 5.225 5.722 5.577 5.876 5.225 5.722 5.577 5.876 ns
PLL
GCLK tco 3.043 3.053 4.319 4.688 5.204 5.084 5.296 4.688 5.204 5.084 5.296 ns
6mA GCLK
tco 3.392 3.366 4.779 5.215 5.713 5.568 5.867 5.215 5.713 5.568 5.867 ns
PLL
GCLK tco 3.032 3.042 4.306 4.667 5.180 5.060 5.281 4.667 5.180 5.060 5.281 ns
SSTL-15
8mA GCLK
CLASS I tco 3.381 3.355 4.766 5.201 5.699 5.554 5.853 5.201 5.699 5.554 5.853 ns
PLL
GCLK tco 3.031 3.041 4.309 4.667 5.169 5.049 5.278 4.667 5.169 5.049 5.278 ns
10mA GCLK
tco 3.380 3.354 4.769 5.205 5.703 5.558 5.857 5.205 5.703 5.558 5.857 ns
PLL
GCLK tco 3.028 3.038 4.303 4.662 5.159 5.039 5.272 4.662 5.159 5.039 5.272 ns
12mA GCLK
tco 3.377 3.351 4.763 5.199 5.697 5.552 5.851 5.199 5.697 5.552 5.851 ns
PLL
GCLK tco 3.030 3.040 4.292 4.648 5.159 5.039 5.269 4.648 5.159 5.039 5.269 ns
8mA GCLK
tco 3.379 3.353 4.752 5.185 5.680 5.535 5.834 5.185 5.680 5.535 5.834 ns
SSTL-15 PLL
CLASS II GCLK tco 3.033 3.043 4.299 4.657 5.165 5.045 5.293 4.657 5.165 5.045 5.293 ns
16mA GCLK
tco 3.382 3.356 4.759 5.194 5.691 5.546 5.845 5.194 5.691 5.546 5.845 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.042 3.050 4.294 4.654 5.173 5.053 5.270 4.654 5.173 5.053 5.270 ns
4mA GCLK
tco 3.389 3.363 4.754 5.185 5.678 5.533 5.832 5.185 5.678 5.533 5.832 ns
PLL
GCLK tco 3.033 3.043 4.292 4.646 5.169 5.050 5.275 4.646 5.169 5.050 5.275 ns
6mA GCLK
tco 3.382 3.356 4.752 5.184 5.677 5.532 5.831 5.184 5.677 5.532 5.831 ns
PLL
1.8-V GCLK tco 3.025 3.035 4.285 4.639 5.142 5.023 5.257 4.639 5.142 5.023 5.257 ns
HSTL 8mA GCLK
CLASS I tco 3.374 3.348 4.745 5.176 5.670 5.525 5.824 5.176 5.670 5.525 5.824 ns
PLL
GCLK tco 3.028 3.038 4.288 4.642 5.145 5.025 5.260 4.642 5.145 5.025 5.260 ns
10mA GCLK
tco 3.377 3.351 4.748 5.180 5.674 5.529 5.828 5.180 5.674 5.529 5.828 ns
PLL
GCLK tco 3.025 3.035 4.290 4.646 5.144 5.025 5.267 4.646 5.144 5.025 5.267 ns
12mA GCLK
tco 3.374 3.348 4.750 5.183 5.678 5.533 5.832 5.183 5.678 5.533 5.832 ns
PLL
1.8-V GCLK tco 3.033 3.043 4.289 4.643 5.141 5.021 5.271 4.643 5.141 5.021 5.271 ns
HSTL 16mA GCLK
CLASS II tco 3.382 3.356 4.749 5.181 5.674 5.529 5.828 5.181 5.674 5.529 5.828 ns
PLL
GCLK tco 3.048 3.055 4.303 4.667 5.189 5.069 5.283 4.667 5.189 5.069 5.283 ns
4mA GCLK
tco 3.394 3.368 4.763 5.195 5.689 5.544 5.843 5.195 5.689 5.544 5.843 ns
PLL
GCLK tco 3.041 3.051 4.304 4.662 5.178 5.058 5.280 4.662 5.178 5.058 5.280 ns
6mA GCLK
tco 3.390 3.364 4.764 5.197 5.692 5.547 5.846 5.197 5.692 5.547 5.846 ns
PLL
1.5-V GCLK tco 3.037 3.047 4.299 4.655 5.172 5.052 5.275 4.655 5.172 5.052 5.275 ns
HSTL 8mA GCLK
CLASS I tco 3.386 3.360 4.759 5.192 5.687 5.542 5.841 5.192 5.687 5.542 5.841 ns
PLL
GCLK tco 3.030 3.040 4.292 4.648 5.159 5.039 5.269 4.648 5.159 5.039 5.269 ns
10mA GCLK
tco 3.379 3.353 4.752 5.185 5.680 5.535 5.834 5.185 5.680 5.535 5.834 ns
PLL
GCLK tco 3.031 3.041 4.299 4.656 5.155 5.035 5.272 4.656 5.155 5.035 5.272 ns
12mA GCLK
tco 3.380 3.354 4.759 5.193 5.690 5.545 5.844 5.193 5.690 5.545 5.844 ns
PLL
1.5-V GCLK tco 3.029 3.039 4.280 4.634 5.134 5.014 5.257 4.634 5.134 5.014 5.257 ns
HSTL 16mA GCLK
CLASS II tco 3.378 3.352 4.740 5.171 5.664 5.519 5.818 5.171 5.664 5.519 5.818 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.048 3.058 4.317 4.683 5.208 5.088 5.302 4.683 5.208 5.088 5.302 ns
4mA GCLK
tco 3.397 3.371 4.777 5.212 5.710 5.565 5.864 5.212 5.710 5.565 5.864 ns
PLL
GCLK tco 3.040 3.050 4.308 4.668 5.191 5.071 5.290 4.668 5.191 5.071 5.290 ns
6mA GCLK
tco 3.389 3.363 4.768 5.203 5.701 5.556 5.855 5.203 5.701 5.556 5.855 ns
PLL
1.2-V GCLK tco 3.041 3.051 4.315 4.674 5.187 5.067 5.293 4.674 5.187 5.067 5.293 ns
HSTL 8mA GCLK
CLASS I tco 3.390 3.364 4.775 5.212 5.710 5.565 5.864 5.212 5.710 5.565 5.864 ns
PLL
GCLK tco 3.030 3.040 4.302 4.661 5.164 5.044 5.287 4.661 5.164 5.044 5.287 ns
10mA GCLK
tco 3.379 3.353 4.762 5.198 5.696 5.551 5.850 5.198 5.696 5.551 5.850 ns
PLL
GCLK tco 3.030 3.040 4.302 4.661 5.165 5.045 5.279 4.661 5.165 5.045 5.279 ns
12mA GCLK
tco 3.379 3.353 4.762 5.198 5.697 5.552 5.851 5.198 5.697 5.552 5.851 ns
PLL
1.2-V GCLK tco 3.061 3.061 4.318 4.685 5.243 5.123 5.316 4.685 5.243 5.123 5.316 ns
HSTL 16mA GCLK
CLASS II tco 3.400 3.374 4.778 5.213 5.710 5.565 5.864 5.213 5.710 5.565 5.864 ns
PLL
GCLK tco 3.154 3.164 4.363 4.710 5.218 5.098 5.347 4.710 5.218 5.098 5.347 ns
3.0-V PCI — GCLK
tco 3.503 3.477 4.823 5.247 5.735 5.590 5.889 5.247 5.735 5.590 5.889 ns
PLL
GCLK tco 3.154 3.164 4.363 4.710 5.218 5.098 5.347 4.710 5.218 5.098 5.347 ns
3.0-V
— GCLK
PCI-X tco 3.503 3.477 4.823 5.247 5.735 5.590 5.889 5.247 5.735 5.590 5.889 ns
PLL
Table 1–104 lists the EP3SE50 row pins output timing parameters for single-ended
I/O standards.
I/O
Standard Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.161 3.395 4.722 5.117 5.622 5.486 5.755 5.247 5.754 5.618 5.832 ns
4mA GCLK
tco 1.488 1.692 2.101 2.185 2.381 2.400 2.349 2.304 2.505 2.523 2.344 ns
PLL
GCLK tco 3.095 3.324 4.612 5.005 5.508 5.372 5.611 5.134 5.638 5.502 5.683 ns
3.3-V
8mA GCLK
LVTTL tco 1.395 1.587 1.971 2.047 2.237 2.256 2.205 2.163 2.356 2.374 2.195 ns
PLL
GCLK tco 3.016 3.235 4.506 4.905 5.412 5.276 5.483 5.035 5.539 5.403 5.551 ns
12mA GCLK
tco 1.314 1.493 1.852 1.924 2.109 2.128 2.077 2.036 2.224 2.242 2.063 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.163 3.402 4.726 5.122 5.631 5.495 5.760 5.255 5.766 5.630 5.837 ns
4mA GCLK
tco 1.498 1.696 2.109 2.190 2.386 2.405 2.354 2.310 2.510 2.528 2.349 ns
3.3-V PLL
LVCMOS GCLK tco 3.020 3.239 4.517 4.920 5.422 5.286 5.489 5.047 5.548 5.412 5.558 ns
8mA GCLK
tco 1.318 1.497 1.858 1.930 2.115 2.134 2.083 2.042 2.231 2.249 2.070 ns
PLL
GCLK tco 3.122 3.356 4.689 5.085 5.588 5.452 5.712 5.215 5.720 5.584 5.790 ns
4mA GCLK
tco 1.442 1.638 2.053 2.138 2.338 2.357 2.306 2.261 2.463 2.481 2.302 ns
PLL
GCLK tco 3.021 3.244 4.552 4.943 5.443 5.307 5.548 5.073 5.575 5.438 5.625 ns
3.0-V
8mA GCLK
LVTTL tco 1.319 1.511 1.900 1.979 2.174 2.193 2.142 2.099 2.299 2.316 2.137 ns
PLL
GCLK tco 2.984 3.206 4.492 4.878 5.373 5.237 5.460 5.005 5.501 5.365 5.532 ns
12mA GCLK
tco 1.282 1.464 1.818 1.896 2.086 2.105 2.054 2.013 2.206 2.223 2.044 ns
PLL
GCLK tco 3.043 3.268 4.587 4.978 5.479 5.343 5.601 5.107 5.611 5.474 5.678 ns
4mA GCLK
tco 1.356 1.557 1.947 2.031 2.227 2.246 2.195 2.153 2.352 2.369 2.190 ns
3.0-V PLL
LVCMOS GCLK tco 2.971 3.190 4.464 4.849 5.345 5.209 5.421 4.975 5.473 5.336 5.492 ns
8mA GCLK
tco 1.269 1.448 1.783 1.857 2.047 2.066 2.015 1.973 2.166 2.183 2.004 ns
PLL
GCLK tco 3.148 3.393 4.797 5.211 5.733 5.597 5.884 5.347 5.872 5.736 5.968 ns
4mA GCLK
tco 1.468 1.674 2.185 2.292 2.510 2.529 2.478 2.421 2.642 2.659 2.480 ns
PLL
GCLK tco 3.063 3.290 4.673 5.076 5.591 5.455 5.714 5.210 5.728 5.591 5.794 ns
2.5 V 8mA GCLK
tco 1.361 1.575 2.030 2.129 2.340 2.359 2.308 2.254 2.468 2.485 2.306 ns
PLL
GCLK tco 3.006 3.246 4.589 4.990 5.500 5.364 5.588 5.121 5.633 5.497 5.664 ns
12mA GCLK
tco 1.312 1.504 1.919 2.010 2.214 2.233 2.182 2.131 2.338 2.355 2.176 ns
PLL
GCLK tco 3.405 3.663 5.253 5.717 6.292 6.156 6.368 5.867 6.444 6.308 6.461 ns
2mA GCLK
tco 1.650 1.863 2.459 2.597 2.849 2.868 2.787 2.729 2.984 3.002 2.793 ns
PLL
GCLK tco 3.180 3.461 4.926 5.349 5.887 5.751 5.963 5.502 6.038 5.901 6.054 ns
4mA GCLK
tco 1.477 1.677 2.168 2.271 2.489 2.508 2.427 2.403 2.623 2.640 2.431 ns
PLL
1.8 V
GCLK tco 3.115 3.359 4.773 5.199 5.728 5.592 5.804 5.334 5.865 5.729 5.882 ns
6mA GCLK
tco 1.402 1.595 2.069 2.166 2.388 2.407 2.326 2.289 2.517 2.535 2.326 ns
PLL
GCLK tco 3.055 3.285 4.696 5.106 5.632 5.496 5.708 5.239 5.771 5.635 5.788 ns
8mA GCLK
tco 1.384 1.575 2.012 2.113 2.323 2.342 2.261 2.233 2.445 2.463 2.254 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.316 3.581 5.163 5.631 6.220 6.084 6.296 5.774 6.368 6.232 6.385 ns
2mA GCLK
tco 1.592 1.806 2.387 2.524 2.786 2.805 2.724 2.650 2.919 2.937 2.728 ns
PLL
GCLK tco 3.074 3.324 4.758 5.194 5.729 5.593 5.805 5.328 5.864 5.728 5.881 ns
4mA GCLK
tco 1.400 1.592 2.065 2.166 2.392 2.411 2.330 2.286 2.518 2.536 2.327 ns
PLL
1.5 V
GCLK tco 3.047 3.276 4.685 5.098 5.623 5.487 5.699 5.230 5.759 5.623 5.776 ns
6mA GCLK
tco 1.373 1.566 1.996 2.105 2.323 2.342 2.261 2.226 2.445 2.463 2.254 ns
PLL
GCLK tco 3.038 3.267 4.663 5.080 5.604 5.468 5.680 5.212 5.737 5.601 5.754 ns
8mA GCLK
tco 1.354 1.555 1.980 2.081 2.304 2.323 2.242 2.202 2.426 2.444 2.235 ns
PLL
GCLK tco 3.259 3.506 5.073 5.545 6.145 6.009 6.221 5.686 6.284 6.148 6.301 ns
2mA GCLK
tco 1.522 1.719 2.308 2.456 2.725 2.744 2.663 2.582 2.850 2.868 2.659 ns
PLL
1.2 V
GCLK tco 3.079 3.317 4.780 5.222 5.770 5.634 5.846 5.353 5.906 5.770 5.923 ns
4mA GCLK
tco 1.405 1.596 2.082 2.194 2.440 2.459 2.378 2.315 2.562 2.580 2.371 ns
PLL
GCLK tco 3.010 3.234 4.582 4.981 5.490 5.354 5.556 5.108 5.619 5.483 5.627 ns
8mA GCLK
tco 1.308 1.492 1.899 1.984 2.183 2.202 2.150 2.100 2.301 2.318 2.139 ns
SSTL-2 PLL
CLASS I GCLK tco 3.005 3.230 4.579 4.979 5.488 5.352 5.548 5.107 5.618 5.482 5.620 ns
12mA GCLK
tco 1.303 1.488 1.896 1.982 2.181 2.200 2.142 2.099 2.300 2.317 2.132 ns
PLL
GCLK tco 2.996 3.219 4.564 4.963 5.471 5.335 5.521 5.090 5.600 5.464 5.593 ns
SSTL-2
16mA GCLK
CLASS II tco 1.294 1.477 1.881 1.966 2.164 2.183 2.115 2.082 2.282 2.299 2.105 ns
PLL
GCLK tco 3.016 3.241 4.591 4.993 5.505 5.369 5.581 5.120 5.634 5.498 5.651 ns
4mA GCLK
tco 1.333 1.519 1.931 2.017 2.218 2.237 2.156 2.132 2.336 2.354 2.145 ns
PLL
GCLK tco 3.001 3.227 4.588 4.991 5.503 5.367 5.579 5.118 5.632 5.496 5.649 ns
6mA GCLK
tco 1.328 1.514 1.929 2.016 2.217 2.236 2.155 2.130 2.334 2.352 2.143 ns
PLL
GCLK tco 2.990 3.215 4.571 4.974 5.486 5.350 5.562 5.101 5.616 5.480 5.633 ns
SSTL-18
8mA GCLK
CLASS I tco 1.317 1.503 1.919 2.006 2.207 2.226 2.145 2.121 2.325 2.343 2.134 ns
PLL
GCLK tco 2.966 3.192 4.555 4.958 5.471 5.335 5.547 5.086 5.601 5.465 5.618 ns
10mA GCLK
tco 1.306 1.492 1.906 1.993 2.194 2.213 2.132 2.109 2.313 2.331 2.122 ns
PLL
GCLK tco 2.966 3.191 4.554 4.957 5.470 5.334 5.546 5.085 5.600 5.464 5.617 ns
12mA GCLK
tco 1.306 1.491 1.906 1.993 2.194 2.213 2.132 2.108 2.313 2.331 2.122 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.976 3.200 4.552 4.953 5.464 5.328 5.540 5.080 5.593 5.457 5.610 ns
8mA GCLK
tco 1.314 1.498 1.905 1.990 2.189 2.208 2.127 2.104 2.307 2.325 2.116 ns
SSTL-18 PLL
CLASS II GCLK tco 2.975 3.198 4.551 4.954 5.466 5.330 5.542 5.082 5.597 5.461 5.614 ns
16mA GCLK
tco 1.315 1.501 1.911 1.998 2.199 2.218 2.137 2.113 2.318 2.336 2.127 ns
PLL
GCLK tco 3.012 3.237 4.602 5.007 5.522 5.386 5.598 5.133 5.650 5.514 5.667 ns
4mA GCLK
tco 1.336 1.522 1.940 2.028 2.231 2.250 2.169 2.142 2.348 2.366 2.157 ns
PLL
GCLK tco 2.989 3.215 4.584 4.990 5.505 5.369 5.581 5.117 5.634 5.498 5.651 ns
SSTL-15
6mA GCLK
CLASS I tco 1.322 1.508 1.929 2.018 2.221 2.240 2.159 2.133 2.339 2.357 2.148 ns
PLL
GCLK tco 2.972 3.198 4.567 4.972 5.487 5.351 5.563 5.099 5.617 5.481 5.634 ns
8mA GCLK
tco 1.311 1.496 1.916 2.005 2.208 2.227 2.146 2.120 2.326 2.344 2.135 ns
PLL
GCLK tco 2.991 3.213 4.558 4.958 5.467 5.331 5.543 5.084 5.596 5.460 5.613 ns
4mA GCLK
tco 1.321 1.504 1.904 1.988 2.187 2.206 2.125 2.103 2.304 2.322 2.113 ns
PLL
GCLK tco 2.979 3.201 4.549 4.950 5.459 5.323 5.535 5.076 5.589 5.453 5.606 ns
6mA GCLK
tco 1.314 1.498 1.902 1.987 2.186 2.205 2.124 2.102 2.304 2.322 2.113 ns
PLL
1.8-V GCLK tco 2.966 3.189 4.541 4.941 5.451 5.315 5.527 5.068 5.581 5.445 5.598 ns
HSTL 8mA GCLK
CLASS I tco 1.305 1.490 1.895 1.980 2.179 2.198 2.117 2.095 2.297 2.315 2.106 ns
PLL
GCLK tco 2.968 3.191 4.543 4.944 5.454 5.318 5.530 5.071 5.584 5.448 5.601 ns
10mA GCLK
tco 1.308 1.492 1.898 1.983 2.183 2.202 2.121 2.098 2.300 2.318 2.109 ns
PLL
GCLK tco 2.964 3.186 4.541 4.943 5.454 5.318 5.530 5.071 5.585 5.449 5.602 ns
12mA GCLK
tco 1.304 1.489 1.900 1.986 2.186 2.205 2.124 2.101 2.305 2.323 2.114 ns
PLL
1.8-V GCLK tco 2.972 3.194 4.536 4.935 5.445 5.309 5.521 5.062 5.574 5.438 5.591 ns
HSTL 16mA GCLK
CLASS II tco 1.312 1.497 1.898 1.983 2.182 2.201 2.120 2.097 2.299 2.317 2.108 ns
PLL
GCLK tco 2.998 3.220 4.569 4.971 5.482 5.346 5.558 5.096 5.610 5.474 5.627 ns
4mA GCLK
tco 1.327 1.510 1.913 1.998 2.198 2.217 2.136 2.112 2.315 2.333 2.124 ns
PLL
1.5-V GCLK tco 2.986 3.210 4.565 4.967 5.478 5.342 5.554 5.093 5.607 5.471 5.624 ns
HSTL 6mA GCLK
CLASS I tco 1.321 1.505 1.914 2.000 2.200 2.219 2.138 2.114 2.318 2.336 2.127 ns
PLL
GCLK tco 2.982 3.205 4.559 4.961 5.472 5.336 5.548 5.087 5.601 5.465 5.618 ns
8mA GCLK
tco 1.317 1.501 1.909 1.995 2.195 2.214 2.133 2.109 2.312 2.330 2.121 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.997 3.219 4.580 4.985 5.500 5.364 5.576 5.110 5.627 5.491 5.644 ns
4mA GCLK
tco 1.329 1.512 1.926 2.014 2.217 2.236 2.155 2.128 2.334 2.352 2.143 ns
PLL
1.2-V GCLK tco 2.985 3.207 4.569 4.973 5.488 5.352 5.564 5.099 5.616 5.480 5.633 ns
HSTL 6mA GCLK
CLASS I tco 1.320 1.504 1.917 2.005 2.208 2.227 2.146 2.119 2.325 2.343 2.134 ns
PLL
GCLK tco 2.982 3.205 4.573 4.978 5.494 5.358 5.570 5.105 5.623 5.487 5.640 ns
8mA GCLK
tco 1.319 1.504 1.924 2.013 2.217 2.236 2.155 2.128 2.335 2.353 2.144 ns
PLL
GCLK tco 3.116 3.340 4.634 5.027 5.530 5.394 5.578 5.156 5.661 5.525 5.651 ns
3.0-V PCI — GCLK
tco 1.414 1.598 1.951 2.030 2.223 2.242 2.160 2.148 2.343 2.360 2.151 ns
PLL
GCLK tco 3.116 3.340 4.634 5.027 5.530 5.394 5.578 5.156 5.661 5.525 5.651 ns
3.0-V
— GCLK
PCI-X tco 1.414 1.598 1.951 2.030 2.223 2.242 2.160 2.148 2.343 2.360 2.151 ns
PLL
Table 1–115 through Table 1–108 list the maximum I/O timing parameters for
EP3SE50 devices for differential I/O standards.
Table 1–105 lists the EP3SE50 column pins input timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.750 -0.774 -1.133 -1.250 -1.376 -1.319 -1.616 -1.252 -1.377 -1.323 -1.650 ns
DIFFERENTIAL GCLK
th 0.868 0.907 1.319 1.458 1.606 1.536 1.833 1.468 1.616 1.549 1.868 ns
1.2-V HSTL
CLASS II GCLK tsu 1.100 1.115 1.777 2.005 2.218 2.106 2.110 2.014 2.229 2.112 2.160 ns
PLL th -0.847 -0.847 -1.377 -1.556 -1.717 -1.634 -1.631 -1.558 -1.721 -1.632 -1.677 ns
tsu -0.750 -0.774 -1.133 -1.250 -1.376 -1.319 -1.616 -1.252 -1.377 -1.323 -1.650 ns
GCLK
DIFFERENTIAL th 0.868 0.907 1.319 1.458 1.606 1.536 1.833 1.468 1.616 1.549 1.868 ns
1.5-V HSTL
CLASS I GCLK tsu 1.100 1.115 1.777 2.005 2.218 2.106 2.110 2.014 2.229 2.112 2.160 ns
PLL th -0.847 -0.847 -1.377 -1.556 -1.717 -1.634 -1.631 -1.558 -1.721 -1.632 -1.677 ns
tsu -0.738 -0.763 -1.124 -1.239 -1.357 -1.300 -1.597 -1.241 -1.359 -1.305 -1.632 ns
DIFFERENTIAL GCLK
th 0.856 0.896 1.309 1.447 1.587 1.517 1.814 1.457 1.598 1.531 1.850 ns
1.5-V HSTL
CLASS II GCLK tsu 1.112 1.126 1.786 2.016 2.237 2.125 2.129 2.025 2.247 2.130 2.178 ns
PLL th -0.859 -0.858 -1.387 -1.567 -1.736 -1.653 -1.650 -1.569 -1.739 -1.650 -1.695 ns
tsu -0.738 -0.763 -1.124 -1.239 -1.357 -1.300 -1.597 -1.241 -1.359 -1.305 -1.632 ns
DIFFERENTIAL GCLK
th 0.856 0.896 1.309 1.447 1.587 1.517 1.814 1.457 1.598 1.531 1.850 ns
1.8-V HSTL
CLASS I GCLK tsu 1.112 1.126 1.786 2.016 2.237 2.125 2.129 2.025 2.247 2.130 2.178 ns
PLL th -0.859 -0.858 -1.387 -1.567 -1.736 -1.653 -1.650 -1.569 -1.739 -1.650 -1.695 ns
tsu -0.750 -0.774 -1.133 -1.250 -1.376 -1.319 -1.616 -1.252 -1.377 -1.323 -1.650 ns
GCLK
DIFFERENTIAL th 0.868 0.907 1.319 1.458 1.606 1.536 1.833 1.468 1.616 1.549 1.868 ns
1.8-V HSTL
CLASS II GCLK tsu 1.100 1.115 1.777 2.005 2.218 2.106 2.110 2.014 2.229 2.112 2.160 ns
PLL th -0.847 -0.847 -1.377 -1.556 -1.717 -1.634 -1.631 -1.558 -1.721 -1.632 -1.677 ns
tsu -0.750 -0.774 -1.133 -1.250 -1.376 -1.319 -1.616 -1.252 -1.377 -1.323 -1.650 ns
DIFFERENTIAL GCLK
th 0.868 0.907 1.319 1.458 1.606 1.536 1.833 1.468 1.616 1.549 1.868 ns
1.5-V SSTL
CLASS I GCLK tsu 1.100 1.115 1.777 2.005 2.218 2.106 2.110 2.014 2.229 2.112 2.160 ns
PLL th -0.847 -0.847 -1.377 -1.556 -1.717 -1.634 -1.631 -1.558 -1.721 -1.632 -1.677 ns
tsu -0.757 -0.780 -1.145 -1.255 -1.376 -1.321 -1.615 -1.256 -1.372 -1.321 -1.646 ns
GCLK
DIFFERENTIAL th 0.875 0.913 1.332 1.466 1.609 1.539 1.837 1.475 1.616 1.548 1.869 ns
1.5-V SSTL
CLASS II GCLK tsu 1.093 1.109 1.765 2.000 2.218 2.104 2.111 2.010 2.234 2.114 2.164 ns
PLL th -0.840 -0.841 -1.364 -1.548 -1.714 -1.631 -1.627 -1.551 -1.721 -1.633 -1.676 ns
tsu -0.757 -0.780 -1.145 -1.255 -1.376 -1.321 -1.615 -1.256 -1.372 -1.321 -1.646 ns
DIFFERENTIAL GCLK
th 0.875 0.913 1.332 1.466 1.609 1.539 1.837 1.475 1.616 1.548 1.869 ns
1.8-V SSTL
CLASS I GCLK tsu 1.093 1.109 1.765 2.000 2.218 2.104 2.111 2.010 2.234 2.114 2.164 ns
PLL th -0.840 -0.841 -1.364 -1.548 -1.714 -1.631 -1.627 -1.551 -1.721 -1.633 -1.676 ns
tsu -0.730 -0.751 -1.114 -1.228 -1.341 -1.284 -1.581 -1.230 -1.344 -1.290 -1.617 ns
DIFFERENTIAL GCLK
th 0.848 0.884 1.299 1.436 1.571 1.501 1.798 1.446 1.583 1.516 1.835 ns
1.8-V SSTL
CLASS II GCLK tsu 1.120 1.138 1.796 2.027 2.253 2.141 2.145 2.036 2.262 2.145 2.193 ns
PLL th -0.867 -0.870 -1.397 -1.578 -1.752 -1.669 -1.666 -1.580 -1.754 -1.665 -1.710 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.730 -0.751 -1.114 -1.228 -1.341 -1.284 -1.581 -1.230 -1.344 -1.290 -1.617 ns
DIFFERENTIAL GCLK
th 0.848 0.884 1.299 1.436 1.571 1.501 1.798 1.446 1.583 1.516 1.835 ns
2.5-V SSTL
CLASS I GCLK tsu 1.120 1.138 1.796 2.027 2.253 2.141 2.145 2.036 2.262 2.145 2.193 ns
PLL th -0.867 -0.870 -1.397 -1.578 -1.752 -1.669 -1.666 -1.580 -1.754 -1.665 -1.710 ns
tsu -0.738 -0.763 -1.124 -1.239 -1.357 -1.300 -1.597 -1.241 -1.359 -1.305 -1.632 ns
GCLK
DIFFERENTIAL th 0.856 0.896 1.309 1.447 1.587 1.517 1.814 1.457 1.598 1.531 1.850 ns
2.5-V SSTL
CLASS II GCLK tsu 1.112 1.126 1.786 2.016 2.237 2.125 2.129 2.025 2.247 2.130 2.178 ns
PLL th -0.859 -0.858 -1.387 -1.567 -1.736 -1.653 -1.650 -1.569 -1.739 -1.650 -1.695 ns
Table 1–106 lists the EP3SE50 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.749 -0.785 -1.110 -1.211 -1.317 -1.267 -1.542 -1.219 -1.323 -1.275 -1.579 ns
DIFFERENTIAL GCLK
th 0.865 0.914 1.297 1.418 1.547 1.483 1.757 1.436 1.564 1.502 1.795 ns
1.5-V HSTL
CLASS I GCLK tsu 1.072 1.074 1.770 2.010 2.245 2.124 2.149 2.013 2.249 2.128 2.196 ns
PLL th -0.819 -0.810 -1.368 -1.561 -1.741 -1.652 -1.671 -1.555 -1.736 -1.646 -1.716 ns
tsu -0.749 -0.785 -1.110 -1.211 -1.317 -1.267 -1.542 -1.219 -1.323 -1.275 -1.579 ns
GCLK
DIFFERENTIAL th 0.865 0.914 1.297 1.418 1.547 1.483 1.757 1.436 1.564 1.502 1.795 ns
1.5-V HSTL
CLASS II GCLK tsu 1.072 1.074 1.770 2.010 2.245 2.124 2.149 2.013 2.249 2.128 2.196 ns
PLL th -0.819 -0.810 -1.368 -1.561 -1.741 -1.652 -1.671 -1.555 -1.736 -1.646 -1.716 ns
tsu -0.763 -0.797 -1.123 -1.221 -1.335 -1.285 -1.560 -1.230 -1.340 -1.292 -1.596 ns
DIFFERENTIAL GCLK
th 0.879 0.926 1.309 1.428 1.565 1.501 1.775 1.447 1.581 1.519 1.812 ns
1.8-V HSTL
CLASS I GCLK tsu 1.058 1.062 1.757 2.000 2.227 2.106 2.131 2.002 2.232 2.111 2.179 ns
PLL th -0.805 -0.798 -1.356 -1.551 -1.723 -1.634 -1.653 -1.544 -1.719 -1.629 -1.699 ns
tsu -0.763 -0.797 -1.123 -1.221 -1.335 -1.285 -1.560 -1.230 -1.340 -1.292 -1.596 ns
DIFFERENTIAL GCLK
th 0.879 0.926 1.309 1.428 1.565 1.501 1.775 1.447 1.581 1.519 1.812 ns
1.8-V HSTL
CLASS II GCLK tsu 1.058 1.062 1.757 2.000 2.227 2.106 2.131 2.002 2.232 2.111 2.179 ns
PLL th -0.805 -0.798 -1.356 -1.551 -1.723 -1.634 -1.653 -1.544 -1.719 -1.629 -1.699 ns
tsu -0.749 -0.785 -1.110 -1.211 -1.317 -1.267 -1.542 -1.219 -1.323 -1.275 -1.579 ns
GCLK
DIFFERENTIAL th 0.865 0.914 1.297 1.418 1.547 1.483 1.757 1.436 1.564 1.502 1.795 ns
1.5-V SSTL
CLASS I GCLK tsu 1.072 1.074 1.770 2.010 2.245 2.124 2.149 2.013 2.249 2.128 2.196 ns
PLL th -0.819 -0.810 -1.368 -1.561 -1.741 -1.652 -1.671 -1.555 -1.736 -1.646 -1.716 ns
tsu -0.749 -0.785 -1.110 -1.211 -1.317 -1.267 -1.542 -1.219 -1.323 -1.275 -1.579 ns
DIFFERENTIAL GCLK
th 0.865 0.914 1.297 1.418 1.547 1.483 1.757 1.436 1.564 1.502 1.795 ns
1.5-V SSTL
CLASS II GCLK tsu 1.072 1.074 1.770 2.010 2.245 2.124 2.149 2.013 2.249 2.128 2.196 ns
PLL th -0.819 -0.810 -1.368 -1.561 -1.741 -1.652 -1.671 -1.555 -1.736 -1.646 -1.716 ns
tsu -0.763 -0.797 -1.123 -1.221 -1.335 -1.285 -1.560 -1.230 -1.340 -1.292 -1.596 ns
GCLK
DIFFERENTIAL th 0.879 0.926 1.309 1.428 1.565 1.501 1.775 1.447 1.581 1.519 1.812 ns
1.8-V SSTL
CLASS I GCLK tsu 1.058 1.062 1.757 2.000 2.227 2.106 2.131 2.002 2.232 2.111 2.179 ns
PLL th -0.805 -0.798 -1.356 -1.551 -1.723 -1.634 -1.653 -1.544 -1.719 -1.629 -1.699 ns
tsu -0.763 -0.797 -1.123 -1.221 -1.335 -1.285 -1.560 -1.230 -1.340 -1.292 -1.596 ns
DIFFERENTIAL GCLK
th 0.879 0.926 1.309 1.428 1.565 1.501 1.775 1.447 1.581 1.519 1.812 ns
1.8-V SSTL
CLASS II GCLK tsu 1.058 1.062 1.757 2.000 2.227 2.106 2.131 2.002 2.232 2.111 2.179 ns
PLL th -0.805 -0.798 -1.356 -1.551 -1.723 -1.634 -1.653 -1.544 -1.719 -1.629 -1.699 ns
tsu -0.762 -0.796 -1.128 -1.227 -1.336 -1.287 -1.561 -1.231 -1.336 -1.290 -1.593 ns
DIFFERENTIAL GCLK
th 0.878 0.925 1.314 1.436 1.569 1.505 1.780 1.451 1.580 1.518 1.813 ns
2.5-V SSTL
CLASS I GCLK tsu 1.049 1.053 1.742 1.984 2.216 2.094 2.120 1.991 2.226 2.103 2.172 ns
PLL th -0.796 -0.789 -1.341 -1.533 -1.709 -1.620 -1.638 -1.530 -1.710 -1.620 -1.688 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.762 -0.796 -1.128 -1.227 -1.336 -1.287 -1.561 -1.231 -1.336 -1.290 -1.593 ns
DIFFERENTIAL GCLK
th 0.878 0.925 1.314 1.436 1.569 1.505 1.780 1.451 1.580 1.518 1.813 ns
2.5-V SSTL
CLASS II GCLK tsu 1.049 1.053 1.742 1.984 2.216 2.094 2.120 1.991 2.226 2.103 2.172 ns
PLL th -0.796 -0.789 -1.341 -1.533 -1.709 -1.620 -1.638 -1.530 -1.710 -1.620 -1.688 ns
Table 1–107 lists the EP3SE50 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.079 3.303 4.664 5.073 5.590 5.448 5.677 5.200 5.718 5.578 5.744 ns
4mA GCLK
tco 3.074 3.299 4.664 5.073 5.591 5.449 5.678 5.201 5.720 5.580 5.746 ns
PLL
GCLK tco 3.072 3.297 4.663 5.072 5.589 5.447 5.676 5.200 5.719 5.579 5.745 ns
6mA GCLK
tco 3.064 3.288 4.653 5.062 5.580 5.438 5.667 5.190 5.709 5.569 5.735 ns
PLL
DIFFERENTIAL
GCLK tco 3.065 3.290 4.659 5.069 5.588 5.446 5.675 5.198 5.718 5.578 5.744 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 3.064 3.287 4.642 5.050 5.566 5.424 5.653 5.177 5.694 5.554 5.720 ns
PLL
GCLK tco 3.076 3.300 4.660 5.068 5.584 5.442 5.671 5.196 5.713 5.573 5.739 ns
10mA GCLK
tco 3.072 3.297 4.661 5.070 5.588 5.446 5.675 5.198 5.717 5.577 5.743 ns
PLL
GCLK tco 3.062 3.286 4.650 5.059 5.576 5.434 5.663 5.187 5.705 5.565 5.731 ns
12mA GCLK
tco 3.060 3.284 4.648 5.056 5.574 5.432 5.661 5.185 5.703 5.563 5.729 ns
PLL
DIFFERENTIAL GCLK tco 3.060 3.285 4.651 5.061 5.579 5.437 5.666 5.189 5.709 5.569 5.735 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 3.064 3.288 4.648 5.056 5.573 5.431 5.660 5.184 5.702 5.562 5.728 ns
PLL
GCLK tco 3.090 3.317 4.693 5.104 5.623 5.481 5.710 5.232 5.752 5.612 5.778 ns
4mA GCLK
tco 3.076 3.303 4.681 5.093 5.613 5.471 5.700 5.222 5.743 5.603 5.769 ns
PLL
GCLK tco 3.064 3.290 4.664 5.075 5.595 5.453 5.682 5.204 5.725 5.585 5.751 ns
6mA GCLK
tco 3.064 3.290 4.667 5.079 5.599 5.457 5.686 5.208 5.730 5.590 5.756 ns
PLL
DIFFERENTIAL
1.5-V HSTL
GCLK tco 3.060 3.286 4.660 5.071 5.592 5.450 5.679 5.201 5.722 5.582 5.748 ns
CLASS I 8mA GCLK
tco 3.064 3.288 4.653 5.062 5.580 5.438 5.667 5.190 5.709 5.569 5.735 ns
PLL
GCLK tco 3.065 3.290 4.661 5.072 5.591 5.449 5.678 5.200 5.721 5.581 5.747 ns
10mA GCLK
tco 3.093 3.320 4.692 5.102 5.621 5.479 5.708 5.231 5.750 5.610 5.776 ns
PLL
GCLK tco 3.082 3.308 4.680 5.090 5.609 5.467 5.696 5.219 5.738 5.598 5.764 ns
12mA GCLK
tco 3.077 3.304 4.680 5.091 5.610 5.468 5.697 5.220 5.740 5.600 5.766 ns
PLL
DIFFERENTIAL GCLK tco 3.063 3.289 4.662 5.072 5.591 5.449 5.678 5.201 5.722 5.582 5.748 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 3.061 3.287 4.660 5.070 5.589 5.447 5.676 5.199 5.719 5.579 5.745 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.065 3.289 4.652 5.060 5.577 5.435 5.664 5.188 5.706 5.566 5.732 ns
4mA GCLK
tco 3.065 3.290 4.660 5.070 5.589 5.447 5.676 5.199 5.719 5.579 5.745 ns
PLL
GCLK tco 3.081 3.307 4.676 5.085 5.603 5.461 5.690 5.214 5.732 5.592 5.758 ns
6mA GCLK
tco 3.081 3.307 4.676 5.085 5.603 5.461 5.690 5.214 5.732 5.592 5.758 ns
PLL
DIFFERENTIAL
GCLK tco 3.071 3.297 4.666 5.075 5.593 5.451 5.680 5.204 5.723 5.583 5.749 ns
1.8-V HSTL
CLASS I 8mA GCLK
tco 3.064 3.289 4.652 5.060 5.577 5.435 5.664 5.188 5.706 5.566 5.732 ns
PLL
GCLK tco 3.058 3.277 4.610 5.014 5.527 5.385 5.614 5.140 5.654 5.514 5.680 ns
10mA GCLK
tco 3.054 3.280 4.657 5.069 5.589 5.447 5.676 5.199 5.720 5.580 5.746 ns
PLL
GCLK tco 3.058 3.277 4.610 5.014 5.527 5.385 5.614 5.140 5.654 5.514 5.680 ns
12mA GCLK
tco 3.054 3.280 4.657 5.069 5.589 5.447 5.676 5.199 5.720 5.580 5.746 ns
PLL
DIFFERENTIAL GCLK tco 3.058 3.277 4.610 5.014 5.527 5.385 5.614 5.140 5.654 5.514 5.680 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 3.054 3.280 4.657 5.069 5.589 5.447 5.676 5.199 5.720 5.580 5.746 ns
PLL
GCLK tco 3.085 3.310 4.681 5.092 5.611 5.469 5.698 5.220 5.740 5.600 5.766 ns
4mA GCLK
tco 3.075 3.300 4.671 5.081 5.601 5.459 5.688 5.209 5.730 5.590 5.756 ns
PLL
GCLK tco 3.075 3.300 4.674 5.085 5.605 5.463 5.692 5.214 5.735 5.595 5.761 ns
6mA GCLK
tco 3.068 3.294 4.667 5.079 5.599 5.457 5.686 5.207 5.729 5.589 5.755 ns
PLL
DIFFERENTIAL
1.5-V SSTL
GCLK tco 3.067 3.292 4.664 5.076 5.596 5.454 5.683 5.204 5.725 5.585 5.751 ns
CLASS I 8mA GCLK
tco 3.089 3.314 4.685 5.096 5.615 5.473 5.702 5.224 5.745 5.605 5.771 ns
PLL
GCLK tco 3.079 3.303 4.664 5.073 5.590 5.448 5.677 5.200 5.718 5.578 5.744 ns
10mA GCLK
tco 3.074 3.299 4.664 5.073 5.591 5.449 5.678 5.201 5.720 5.580 5.746 ns
PLL
GCLK tco 3.072 3.297 4.663 5.072 5.589 5.447 5.676 5.200 5.719 5.579 5.745 ns
12mA GCLK
tco 3.064 3.288 4.653 5.062 5.580 5.438 5.667 5.190 5.709 5.569 5.735 ns
PLL
GCLK tco 3.065 3.290 4.659 5.069 5.588 5.446 5.675 5.198 5.718 5.578 5.744 ns
DIFFERENTIAL 8mA GCLK
tco 3.064 3.287 4.642 5.050 5.566 5.424 5.653 5.177 5.694 5.554 5.720 ns
1.5-V SSTL PLL
CLASS II GCLK tco 3.076 3.300 4.660 5.068 5.584 5.442 5.671 5.196 5.713 5.573 5.739 ns
16mA GCLK
tco 3.072 3.297 4.661 5.070 5.588 5.446 5.675 5.198 5.717 5.577 5.743 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.062 3.286 4.650 5.059 5.576 5.434 5.663 5.187 5.705 5.565 5.731 ns
4mA GCLK
tco 3.060 3.284 4.648 5.056 5.574 5.432 5.661 5.185 5.703 5.563 5.729 ns
PLL
GCLK tco 3.060 3.285 4.651 5.061 5.579 5.437 5.666 5.189 5.709 5.569 5.735 ns
6mA GCLK
tco 3.064 3.288 4.648 5.056 5.573 5.431 5.660 5.184 5.702 5.562 5.728 ns
PLL
DIFFERENTIAL
GCLK tco 3.090 3.317 4.693 5.104 5.623 5.481 5.710 5.232 5.752 5.612 5.778 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 3.076 3.303 4.681 5.093 5.613 5.471 5.700 5.222 5.743 5.603 5.769 ns
PLL
GCLK tco 3.064 3.290 4.664 5.075 5.595 5.453 5.682 5.204 5.725 5.585 5.751 ns
10mA GCLK
tco 3.064 3.290 4.667 5.079 5.599 5.457 5.686 5.208 5.730 5.590 5.756 ns
PLL
GCLK tco 3.060 3.286 4.660 5.071 5.592 5.450 5.679 5.201 5.722 5.582 5.748 ns
12mA GCLK
tco 3.064 3.288 4.653 5.062 5.580 5.438 5.667 5.190 5.709 5.569 5.735 ns
PLL
GCLK tco 3.065 3.290 4.661 5.072 5.591 5.449 5.678 5.200 5.721 5.581 5.747 ns
DIFFERENTIAL 8mA GCLK
1.8-V SSTL tco 3.093 3.320 4.692 5.102 5.621 5.479 5.708 5.231 5.750 5.610 5.776 ns
PLL
CLASS II GCLK tco 3.082 3.308 4.680 5.090 5.609 5.467 5.696 5.219 5.738 5.598 5.764 ns
16mA GCLK
tco 3.077 3.304 4.680 5.091 5.610 5.468 5.697 5.220 5.740 5.600 5.766 ns
PLL
GCLK tco 3.063 3.289 4.662 5.072 5.591 5.449 5.678 5.201 5.722 5.582 5.748 ns
8mA GCLK
tco 3.061 3.287 4.660 5.070 5.589 5.447 5.676 5.199 5.719 5.579 5.745 ns
PLL
DIFFERENTIAL
2.5-V SSTL
GCLK tco 3.065 3.289 4.652 5.060 5.577 5.435 5.664 5.188 5.706 5.566 5.732 ns
CLASS I 10mA GCLK
tco 3.065 3.290 4.660 5.070 5.589 5.447 5.676 5.199 5.719 5.579 5.745 ns
PLL
GCLK tco 3.081 3.307 4.676 5.085 5.603 5.461 5.690 5.214 5.732 5.592 5.758 ns
12mA GCLK
tco 3.081 3.307 4.676 5.085 5.603 5.461 5.690 5.214 5.732 5.592 5.758 ns
PLL
DIFFERENTIAL GCLK tco 3.071 3.297 4.666 5.075 5.593 5.451 5.680 5.204 5.723 5.583 5.749 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 3.064 3.289 4.652 5.060 5.577 5.435 5.664 5.188 5.706 5.566 5.732 ns
PLL
Table 1–108 lists the EP3SE50 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.674 2.849 3.990 4.359 4.834 4.699 4.910 4.467 4.945 4.809 4.961 ns
LVDS — GCLK
tco 3.068 3.295 4.657 5.068 5.588 5.445 5.648 5.200 5.724 5.579 5.715 ns
PLL
GCLK tco 3.050 3.285 4.695 5.114 5.642 5.499 5.702 5.251 5.785 5.640 5.776 ns
LVDS_E_1R — GCLK
tco 2.674 2.849 3.990 4.359 4.834 4.699 4.910 4.467 4.945 4.809 4.961 ns
PLL
GCLK tco 3.068 3.295 4.657 5.068 5.588 5.445 5.648 5.200 5.724 5.579 5.715 ns
LVDS_E_3R — GCLK
tco 3.050 3.285 4.695 5.114 5.642 5.499 5.702 5.251 5.785 5.640 5.776 ns
PLL
GCLK tco 2.674 2.849 3.990 4.359 4.834 4.699 4.910 4.467 4.945 4.809 4.961 ns
MINI-LVDS — GCLK
tco 3.068 3.295 4.657 5.068 5.588 5.445 5.648 5.200 5.724 5.579 5.715 ns
PLL
GCLK tco 3.050 3.285 4.695 5.114 5.642 5.499 5.702 5.251 5.785 5.640 5.776 ns
MINI-
— GCLK
LVDS_E_1R tco 3.104 3.338 4.741 5.158 5.685 5.542 5.745 5.294 5.824 5.679 5.815 ns
PLL
GCLK tco 3.090 3.324 4.728 5.145 5.672 5.529 5.732 5.280 5.811 5.666 5.802 ns
MINI-
— GCLK
LVDS_E_3R tco 3.086 3.320 4.726 5.145 5.673 5.530 5.733 5.280 5.813 5.668 5.804 ns
PLL
GCLK tco 3.102 3.335 4.727 5.142 5.667 5.524 5.727 5.277 5.806 5.661 5.797 ns
RSDS — GCLK
tco 3.091 3.325 4.723 5.138 5.664 5.521 5.724 5.274 5.803 5.658 5.794 ns
PLL
GCLK tco 3.088 3.322 4.721 5.136 5.662 5.519 5.722 5.272 5.802 5.657 5.793 ns
RSDS_E_1R — GCLK
tco 3.099 3.332 4.722 5.137 5.661 5.518 5.721 5.271 5.800 5.655 5.791 ns
PLL
GCLK tco 3.089 3.323 4.720 5.135 5.660 5.517 5.720 5.271 5.800 5.655 5.791 ns
RSDS_E_3R — GCLK
tco 3.075 3.309 4.705 5.120 5.646 5.503 5.706 5.256 5.785 5.640 5.776 ns
PLL
GCLK tco 3.072 3.305 4.701 5.116 5.642 5.499 5.702 5.252 5.781 5.636 5.772 ns
4mA GCLK
tco 3.069 3.303 4.702 5.119 5.645 5.502 5.705 5.255 5.785 5.640 5.776 ns
PLL
DIFFERENTIAL GCLK tco 3.070 3.303 4.692 5.107 5.632 5.489 5.692 5.242 5.771 5.626 5.762 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 3.119 3.356 4.763 5.180 5.708 5.565 5.768 5.316 5.847 5.702 5.838 ns
PLL
GCLK tco 3.095 3.332 4.745 5.163 5.691 5.548 5.751 5.299 5.832 5.687 5.823 ns
8mA GCLK
tco 3.077 3.313 4.723 5.141 5.669 5.526 5.729 5.277 5.810 5.665 5.801 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.123 3.359 4.763 5.180 5.707 5.564 5.767 5.316 5.847 5.702 5.838 ns
4mA GCLK
tco 3.108 3.344 4.749 5.165 5.692 5.549 5.752 5.301 5.832 5.687 5.823 ns
PLL
DIFFERENTIAL GCLK tco 3.097 3.333 4.744 5.162 5.689 5.546 5.749 5.298 5.830 5.685 5.821 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 3.077 3.313 4.721 5.138 5.666 5.523 5.726 5.275 5.806 5.661 5.797 ns
PLL
GCLK tco 3.074 3.309 4.717 5.135 5.662 5.519 5.722 5.271 5.803 5.658 5.794 ns
8mA GCLK
tco 3.079 3.313 4.708 5.123 5.648 5.505 5.708 5.258 5.787 5.642 5.778 ns
PLL
GCLK tco 3.072 3.306 4.707 5.124 5.651 5.508 5.711 5.261 5.792 5.647 5.783 ns
4mA GCLK
tco 3.100 3.335 4.735 5.151 5.677 5.534 5.737 5.287 5.817 5.672 5.808 ns
PLL
GCLK tco 3.082 3.318 4.720 5.136 5.662 5.519 5.722 5.272 5.802 5.657 5.793 ns
6mA GCLK
tco 3.068 3.302 4.697 5.112 5.637 5.494 5.697 5.248 5.777 5.632 5.768 ns
PLL
DIFFERENTIAL GCLK tco 2.674 2.849 3.990 4.359 4.834 4.699 4.910 4.467 4.945 4.809 4.961 ns
1.8-V 8mA GCLK
HSTL CLASS I tco 3.068 3.295 4.657 5.068 5.588 5.445 5.648 5.200 5.724 5.579 5.715 ns
PLL
GCLK tco 3.050 3.285 4.695 5.114 5.642 5.499 5.702 5.251 5.785 5.640 5.776 ns
10mA GCLK
tco 2.674 2.849 3.990 4.359 4.834 4.699 4.910 4.467 4.945 4.809 4.961 ns
PLL
GCLK tco 3.068 3.295 4.657 5.068 5.588 5.445 5.648 5.200 5.724 5.579 5.715 ns
12mA GCLK
tco 3.050 3.285 4.695 5.114 5.642 5.499 5.702 5.251 5.785 5.640 5.776 ns
PLL
DIFFERENTIAL GCLK tco 2.674 2.849 3.990 4.359 4.834 4.699 4.910 4.467 4.945 4.809 4.961 ns
1.8-V 16mA GCLK
HSTL CLASS II tco 3.068 3.295 4.657 5.068 5.588 5.445 5.648 5.200 5.724 5.579 5.715 ns
PLL
GCLK tco 3.050 3.285 4.695 5.114 5.642 5.499 5.702 5.251 5.785 5.640 5.776 ns
4mA GCLK
tco 3.104 3.338 4.741 5.158 5.685 5.542 5.745 5.294 5.824 5.679 5.815 ns
PLL
DIFFERENTIAL GCLK tco 3.090 3.324 4.728 5.145 5.672 5.529 5.732 5.280 5.811 5.666 5.802 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 3.086 3.320 4.726 5.145 5.673 5.530 5.733 5.280 5.813 5.668 5.804 ns
PLL
GCLK tco 3.102 3.335 4.727 5.142 5.667 5.524 5.727 5.277 5.806 5.661 5.797 ns
8mA GCLK
tco 3.091 3.325 4.723 5.138 5.664 5.521 5.724 5.274 5.803 5.658 5.794 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.088 3.322 4.721 5.136 5.662 5.519 5.722 5.272 5.802 5.657 5.793 ns
4mA GCLK
tco 3.099 3.332 4.722 5.137 5.661 5.518 5.721 5.271 5.800 5.655 5.791 ns
PLL
GCLK tco 3.089 3.323 4.720 5.135 5.660 5.517 5.720 5.271 5.800 5.655 5.791 ns
6mA GCLK
tco 3.075 3.309 4.705 5.120 5.646 5.503 5.706 5.256 5.785 5.640 5.776 ns
PLL
DIFFERENTIAL GCLK tco 3.072 3.305 4.701 5.116 5.642 5.499 5.702 5.252 5.781 5.636 5.772 ns
1.8-V 8mA GCLK
SSTL CLASS I tco 3.069 3.303 4.702 5.119 5.645 5.502 5.705 5.255 5.785 5.640 5.776 ns
PLL
GCLK tco 3.070 3.303 4.692 5.107 5.632 5.489 5.692 5.242 5.771 5.626 5.762 ns
10mA GCLK
tco 3.119 3.356 4.763 5.180 5.708 5.565 5.768 5.316 5.847 5.702 5.838 ns
PLL
GCLK tco 3.095 3.332 4.745 5.163 5.691 5.548 5.751 5.299 5.832 5.687 5.823 ns
12mA GCLK
tco 3.077 3.313 4.723 5.141 5.669 5.526 5.729 5.277 5.810 5.665 5.801 ns
PLL
GCLK tco 3.123 3.359 4.763 5.180 5.707 5.564 5.767 5.316 5.847 5.702 5.838 ns
8mA GCLK
DIFFERENTIAL tco 3.108 3.344 4.749 5.165 5.692 5.549 5.752 5.301 5.832 5.687 5.823 ns
PLL
1.8-V
SSTL CLASS II GCLK tco 3.097 3.333 4.744 5.162 5.689 5.546 5.749 5.298 5.830 5.685 5.821 ns
16mA GCLK
tco 3.077 3.313 4.721 5.138 5.666 5.523 5.726 5.275 5.806 5.661 5.797 ns
PLL
GCLK tco 3.074 3.309 4.717 5.135 5.662 5.519 5.722 5.271 5.803 5.658 5.794 ns
8mA GCLK
DIFFERENTIAL tco 3.079 3.313 4.708 5.123 5.648 5.505 5.708 5.258 5.787 5.642 5.778 ns
PLL
2.5-V SSTL
CLASS I GCLK tco 3.072 3.306 4.707 5.124 5.651 5.508 5.711 5.261 5.792 5.647 5.783 ns
12mA GCLK
tco 3.100 3.335 4.735 5.151 5.677 5.534 5.737 5.287 5.817 5.672 5.808 ns
PLL
DIFFERENTIAL GCLK tco 3.082 3.318 4.720 5.136 5.662 5.519 5.722 5.272 5.802 5.657 5.793 ns
2.5-V 16mA GCLK
SSTL CLASS II tco 3.068 3.302 4.697 5.112 5.637 5.494 5.697 5.248 5.777 5.632 5.768 ns
PLL
Table 1–109 and Table 1–110 list the EP3SE50 regional clock (RCLK) adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–109 lists the EP3SE50 column pin delay adders when using the regional clock
in Stratix III devices.
Table 1–109. EP3SE50 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.152 0.164 0.22 0.237 0.25 0.244 0.31 0.24 0.254 0.246 0.312 ns
RCLK PLL input adder -0.001 -0.001 -0.003 -0.004 -0.004 -0.004 -0.006 -0.003 -0.004 -0.004 -0.006 ns
RCLK output adder -0.116 -0.119 -0.134 -0.136 -0.17 -0.171 -0.249 -0.131 -0.13 -0.13 -0.216 ns
RCLK PLL output
1.647 1.684 2.61 2.926 3.238 3.084 3.298 2.943 3.254 3.098 3.374 ns
adder
Table 1–110 lists the EP3SE50 row pin delay adders when using the regional clock in
Stratix III devices.
Table 1–110. EP3SE50 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.113 0.125 0.182 0.197 0.212 0.205 0.274 0.201 0.215 0.21 0.275 ns
RCLK PLL input adder 0.13 0.14 0.213 0.241 0.267 0.255 0.385 0.244 0.27 0.256 0.386 ns
RCLK output adder -0.116 -0.129 -0.186 -0.202 -0.218 -0.209 -0.28 -0.206 -0.221 -0.214 -0.283 ns
RCLK PLL output adder -0.137 -0.143 -0.193 -0.214 -0.236 -0.225 -0.295 -0.215 -0.237 -0.226 -0.297 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.991 -0.991 -1.425 -1.539 -1.664 -1.611 -1.965 -1.539 -1.664 -1.611 -1.965 ns
GCLK
SSTL-2 th 1.134 1.134 1.624 1.764 1.909 1.842 2.201 1.764 1.909 1.842 2.201 ns
CLASS I tsu -1.244 -1.244 -1.790 -1.931 -2.113 -2.006 -2.453 -1.931 -2.113 -2.006 -2.453 ns
GCLK
PLL th 1.544 1.544 2.222 2.416 2.650 2.513 2.978 2.416 2.650 2.513 2.978 ns
tsu -0.991 -0.991 -1.425 -1.539 -1.664 -1.611 -1.965 -1.539 -1.664 -1.611 -1.965 ns
GCLK
SSTL-2 th 1.134 1.134 1.624 1.764 1.909 1.842 2.201 1.764 1.909 1.842 2.201 ns
CLASS II tsu -1.244 -1.244 -1.790 -1.931 -2.113 -2.006 -2.453 -1.931 -2.113 -2.006 -2.453 ns
GCLK
PLL th 1.544 1.544 2.222 2.416 2.650 2.513 2.978 2.416 2.650 2.513 2.978 ns
tsu -0.985 -0.985 -1.412 -1.531 -1.661 -1.606 -1.963 -1.531 -1.661 -1.606 -1.963 ns
GCLK
SSTL-18 th 1.128 1.128 1.611 1.753 1.903 1.836 2.194 1.753 1.903 1.836 2.194 ns
CLASS I tsu -1.238 -1.238 -1.777 -1.923 -2.110 -2.001 -2.451 -1.923 -2.110 -2.001 -2.451 ns
GCLK
PLL th 1.538 1.538 2.209 2.405 2.644 2.507 2.971 2.405 2.644 2.507 2.971 ns
tsu -0.985 -0.985 -1.412 -1.531 -1.661 -1.606 -1.963 -1.531 -1.661 -1.606 -1.963 ns
GCLK
SSTL-18 th 1.128 1.128 1.611 1.753 1.903 1.836 2.194 1.753 1.903 1.836 2.194 ns
CLASS II tsu -1.238 -1.238 -1.777 -1.923 -2.110 -2.001 -2.451 -1.923 -2.110 -2.001 -2.451 ns
GCLK
PLL th 1.538 1.538 2.209 2.405 2.644 2.507 2.971 2.405 2.644 2.507 2.971 ns
tsu -0.974 -0.974 -1.401 -1.520 -1.642 -1.587 -1.944 -1.520 -1.642 -1.587 -1.944 ns
GCLK
SSTL-15 th 1.117 1.117 1.599 1.742 1.884 1.817 2.175 1.742 1.884 1.817 2.175 ns
CLASS I tsu -1.227 -1.227 -1.766 -1.912 -2.091 -1.982 -2.432 -1.912 -2.091 -1.982 -2.432 ns
GCLK
PLL th 1.527 1.527 2.197 2.394 2.625 2.488 2.952 2.394 2.625 2.488 2.952 ns
tsu -0.974 -0.974 -1.401 -1.520 -1.642 -1.587 -1.944 -1.520 -1.642 -1.587 -1.944 ns
GCLK
1.8-V HSTL th 1.117 1.117 1.599 1.742 1.884 1.817 2.175 1.742 1.884 1.817 2.175 ns
CLASS I tsu -1.227 -1.227 -1.766 -1.912 -2.091 -1.982 -2.432 -1.912 -2.091 -1.982 -2.432 ns
GCLK
PLL th 1.527 1.527 2.197 2.394 2.625 2.488 2.952 2.394 2.625 2.488 2.952 ns
tsu -0.985 -0.985 -1.412 -1.531 -1.661 -1.606 -1.963 -1.531 -1.661 -1.606 -1.963 ns
GCLK
1.8-V HSTL th 1.128 1.128 1.611 1.753 1.903 1.836 2.194 1.753 1.903 1.836 2.194 ns
CLASS II tsu -1.238 -1.238 -1.777 -1.923 -2.110 -2.001 -2.451 -1.923 -2.110 -2.001 -2.451 ns
GCLK
PLL th 1.538 1.538 2.209 2.405 2.644 2.507 2.971 2.405 2.644 2.507 2.971 ns
tsu -0.985 -0.985 -1.412 -1.531 -1.661 -1.606 -1.963 -1.531 -1.661 -1.606 -1.963 ns
GCLK
1.5-V HSTL th 1.128 1.128 1.611 1.753 1.903 1.836 2.194 1.753 1.903 1.836 2.194 ns
CLASS I tsu -1.238 -1.238 -1.777 -1.923 -2.110 -2.001 -2.451 -1.923 -2.110 -2.001 -2.451 ns
GCLK
PLL th 1.538 1.538 2.209 2.405 2.644 2.507 2.971 2.405 2.644 2.507 2.971 ns
tsu -0.974 -0.974 -1.401 -1.520 -1.642 -1.587 -1.944 -1.520 -1.642 -1.587 -1.944 ns
GCLK
1.5-V HSTL th 1.117 1.117 1.599 1.742 1.884 1.817 2.175 1.742 1.884 1.817 2.175 ns
CLASS II tsu -1.227 -1.227 -1.766 -1.912 -2.091 -1.982 -2.432 -1.912 -2.091 -1.982 -2.432 ns
GCLK
PLL th 1.527 1.527 2.197 2.394 2.625 2.488 2.952 2.394 2.625 2.488 2.952 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.974 -0.974 -1.401 -1.520 -1.642 -1.587 -1.944 -1.520 -1.642 -1.587 -1.944 ns
GCLK
1.2-V HSTL th 1.117 1.117 1.599 1.742 1.884 1.817 2.175 1.742 1.884 1.817 2.175 ns
CLASS I tsu -1.227 -1.227 -1.766 -1.912 -2.091 -1.982 -2.432 -1.912 -2.091 -1.982 -2.432 ns
GCLK
PLL th 1.527 1.527 2.197 2.394 2.625 2.488 2.952 2.394 2.625 2.488 2.952 ns
tsu -0.962 -0.962 -1.391 -1.509 -1.626 -1.571 -1.928 -1.509 -1.626 -1.571 -1.928 ns
GCLK
1.2-V HSTL th 1.105 1.105 1.589 1.731 1.868 1.801 2.159 1.731 1.868 1.801 2.159 ns
CLASS II tsu -1.215 -1.215 -1.756 -1.901 -2.075 -1.966 -2.416 -1.901 -2.075 -1.966 -2.416 ns
GCLK
PLL th 1.515 1.515 2.187 2.383 2.609 2.472 2.936 2.383 2.609 2.472 2.936 ns
tsu -0.962 -0.962 -1.391 -1.509 -1.626 -1.571 -1.928 -1.509 -1.626 -1.571 -1.928 ns
GCLK
th 1.105 1.105 1.589 1.731 1.868 1.801 2.159 1.731 1.868 1.801 2.159 ns
3.0-V PCI
GCLK tsu -1.215 -1.215 -1.756 -1.901 -2.075 -1.966 -2.416 -1.901 -2.075 -1.966 -2.416 ns
PLL th 1.515 1.515 2.187 2.383 2.609 2.472 2.936 2.383 2.609 2.472 2.936 ns
tsu -1.065 -1.065 -1.504 -1.638 -1.871 -1.818 -2.172 -1.638 -1.871 -1.818 -2.172 ns
GCLK
th 1.206 1.206 1.703 1.863 2.116 2.049 2.408 1.863 2.116 2.049 2.408 ns
3.0-V PCI-X
GCLK tsu -1.318 -1.318 -1.869 -2.030 -2.320 -2.213 -2.660 -2.030 -2.320 -2.213 -2.660 ns
PLL th 1.616 1.616 2.301 2.515 2.857 2.720 3.185 2.515 2.857 2.720 3.185 ns
Table 1–112 lists the EP3SE80 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.928 -0.975 -1.366 -1.482 -1.598 -1.650 -1.893 -1.491 -1.702 -1.654 -1.934 ns
GCLK
3.0-V th 1.051 1.114 1.563 1.704 1.845 1.881 2.131 1.724 1.955 1.895 2.173 ns
LVCMOS tsu 1.081 1.099 1.773 1.999 1.982 2.001 1.796 2.013 1.998 2.019 1.845 ns
GCLK
PLL th -0.819 -0.819 -1.356 -1.531 -1.467 -1.510 -1.297 -1.534 -1.472 -1.518 -1.344 ns
tsu -0.916 -0.968 -1.375 -1.495 -1.613 -1.665 -1.908 -1.500 -1.712 -1.664 -1.944 ns
GCLK
th 1.039 1.107 1.572 1.717 1.860 1.896 2.146 1.733 1.965 1.905 2.183 ns
2.5 V
GCLK tsu 1.093 1.106 1.764 1.986 1.967 1.986 1.781 2.004 1.988 2.009 1.835 ns
PLL th -0.831 -0.826 -1.347 -1.518 -1.452 -1.495 -1.282 -1.525 -1.462 -1.508 -1.334 ns
tsu -0.973 -1.027 -1.441 -1.555 -1.741 -1.689 -1.906 -1.560 -1.739 -1.691 -1.945 ns
GCLK
th 1.097 1.167 1.638 1.777 1.984 1.920 2.144 1.792 1.992 1.931 2.184 ns
1.8 V
GCLK tsu 0.974 0.984 1.606 1.824 1.969 1.854 1.783 1.837 1.987 1.870 1.834 ns
PLL th -0.714 -0.705 -1.192 -1.359 -1.454 -1.366 -1.284 -1.362 -1.461 -1.373 -1.333 ns
tsu -0.963 -1.016 -1.417 -1.523 -1.673 -1.621 -1.838 -1.529 -1.674 -1.626 -1.880 ns
GCLK
th 1.087 1.156 1.614 1.745 1.916 1.852 2.076 1.761 1.927 1.866 2.119 ns
1.5 V
GCLK tsu 0.984 0.995 1.630 1.856 2.037 1.922 1.851 1.868 2.052 1.935 1.899 ns
PLL th -0.724 -0.716 -1.216 -1.391 -1.522 -1.434 -1.352 -1.393 -1.526 -1.438 -1.398 ns
tsu -0.903 -0.963 -1.338 -1.422 -1.514 -1.462 -1.679 -1.433 -1.519 -1.471 -1.725 ns
GCLK
th 1.027 1.103 1.535 1.644 1.757 1.693 1.917 1.665 1.772 1.711 1.964 ns
1.2 V
GCLK tsu 1.044 1.048 1.709 1.957 2.196 2.081 2.010 1.964 2.207 2.090 2.054 ns
PLL th -0.784 -0.769 -1.295 -1.492 -1.681 -1.593 -1.511 -1.489 -1.681 -1.593 -1.553 ns
tsu -0.859 -0.910 -1.289 -1.386 -1.393 -1.445 -1.688 -1.388 -1.495 -1.447 -1.727 ns
GCLK
SSTL-2 th 0.983 1.050 1.486 1.608 1.640 1.676 1.926 1.621 1.748 1.688 1.966 ns
CLASS I tsu 1.151 1.165 1.850 2.095 2.187 2.206 2.001 2.116 2.205 2.226 2.052 ns
GCLK
PLL th -0.888 -0.884 -1.433 -1.627 -1.672 -1.715 -1.502 -1.637 -1.679 -1.725 -1.551 ns
tsu -0.859 -0.910 -1.289 -1.386 -1.393 -1.445 -1.688 -1.388 -1.495 -1.447 -1.727 ns
GCLK
SSTL-2 th 0.983 1.050 1.486 1.608 1.640 1.676 1.926 1.621 1.748 1.688 1.966 ns
CLASS II tsu 1.151 1.165 1.850 2.095 2.187 2.206 2.001 2.116 2.205 2.226 2.052 ns
GCLK
PLL th -0.888 -0.884 -1.433 -1.627 -1.672 -1.715 -1.502 -1.637 -1.679 -1.725 -1.551 ns
tsu -0.877 -0.928 -1.300 -1.395 -1.509 -1.456 -1.677 -1.401 -1.512 -1.463 -1.720 ns
GCLK
SSTL-18 th 1.001 1.068 1.497 1.615 1.750 1.686 1.911 1.631 1.762 1.702 1.955 ns
CLASS I tsu 1.070 1.083 1.747 1.984 2.201 2.087 2.014 1.996 2.214 2.098 2.061 ns
GCLK
PLL th -0.810 -0.804 -1.333 -1.521 -1.688 -1.600 -1.519 -1.523 -1.691 -1.602 -1.564 ns
tsu -0.877 -0.928 -1.300 -1.395 -1.509 -1.456 -1.677 -1.401 -1.512 -1.463 -1.720 ns
GCLK
SSTL-18 th 1.001 1.068 1.497 1.615 1.750 1.686 1.911 1.631 1.762 1.702 1.955 ns
CLASS II tsu 1.070 1.083 1.747 1.984 2.201 2.087 2.014 1.996 2.214 2.098 2.061 ns
GCLK
PLL th -0.810 -0.804 -1.333 -1.521 -1.688 -1.600 -1.519 -1.523 -1.691 -1.602 -1.564 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.863 -0.916 -1.285 -1.385 -1.491 -1.438 -1.659 -1.390 -1.495 -1.446 -1.703 ns
GCLK
SSTL-15 th 0.987 1.056 1.483 1.605 1.732 1.668 1.893 1.620 1.745 1.685 1.938 ns
CLASS I tsu 1.084 1.095 1.762 1.994 2.219 2.105 2.032 2.007 2.231 2.115 2.078 ns
GCLK
PLL th -0.824 -0.816 -1.347 -1.531 -1.706 -1.618 -1.537 -1.534 -1.708 -1.619 -1.581 ns
tsu -0.877 -0.928 -1.300 -1.395 -1.509 -1.456 -1.677 -1.401 -1.512 -1.463 -1.720 ns
GCLK
1.8-V HSTL th 1.001 1.068 1.497 1.615 1.750 1.686 1.911 1.631 1.762 1.702 1.955 ns
CLASS I tsu 1.070 1.083 1.747 1.984 2.201 2.087 2.014 1.996 2.214 2.098 2.061 ns
GCLK
PLL th -0.810 -0.804 -1.333 -1.521 -1.688 -1.600 -1.519 -1.523 -1.691 -1.602 -1.564 ns
tsu -0.877 -0.928 -1.300 -1.395 -1.509 -1.456 -1.677 -1.401 -1.512 -1.463 -1.720 ns
GCLK
1.8-V HSTL th 1.001 1.068 1.497 1.615 1.750 1.686 1.911 1.631 1.762 1.702 1.955 ns
CLASS II tsu 1.070 1.083 1.747 1.984 2.201 2.087 2.014 1.996 2.214 2.098 2.061 ns
GCLK
PLL th -0.810 -0.804 -1.333 -1.521 -1.688 -1.600 -1.519 -1.523 -1.691 -1.602 -1.564 ns
tsu -0.863 -0.916 -1.285 -1.385 -1.491 -1.438 -1.659 -1.390 -1.495 -1.446 -1.703 ns
GCLK
1.5-V HSTL th 0.987 1.056 1.483 1.605 1.732 1.668 1.893 1.620 1.745 1.685 1.938 ns
CLASS I tsu 1.084 1.095 1.762 1.994 2.219 2.105 2.032 2.007 2.231 2.115 2.078 ns
GCLK
PLL th -0.824 -0.816 -1.347 -1.531 -1.706 -1.618 -1.537 -1.534 -1.708 -1.619 -1.581 ns
tsu -0.863 -0.916 -1.285 -1.385 -1.491 -1.438 -1.659 -1.390 -1.495 -1.446 -1.703 ns
GCLK
1.5-V HSTL th 0.987 1.056 1.483 1.605 1.732 1.668 1.893 1.620 1.745 1.685 1.938 ns
CLASS II tsu 1.084 1.095 1.762 1.994 2.219 2.105 2.032 2.007 2.231 2.115 2.078 ns
GCLK
PLL th -0.824 -0.816 -1.347 -1.531 -1.706 -1.618 -1.537 -1.534 -1.708 -1.619 -1.581 ns
tsu -0.854 -0.904 -1.276 -1.375 -1.475 -1.422 -1.643 -1.381 -1.479 -1.430 -1.687 ns
GCLK
1.2-V HSTL th 0.978 1.044 1.474 1.595 1.716 1.652 1.877 1.611 1.729 1.669 1.922 ns
CLASS I tsu 1.093 1.107 1.771 2.004 2.235 2.121 2.048 2.016 2.247 2.131 2.094 ns
GCLK
PLL th -0.833 -0.828 -1.356 -1.541 -1.722 -1.634 -1.553 -1.543 -1.724 -1.635 -1.597 ns
tsu -0.854 -0.904 -1.276 -1.375 -1.475 -1.422 -1.643 -1.381 -1.479 -1.430 -1.687 ns
GCLK
1.2-V HSTL th 0.978 1.044 1.474 1.595 1.716 1.652 1.877 1.611 1.729 1.669 1.922 ns
CLASS II tsu 1.093 1.107 1.771 2.004 2.235 2.121 2.048 2.016 2.247 2.131 2.094 ns
GCLK
PLL th -0.833 -0.828 -1.356 -1.541 -1.722 -1.634 -1.553 -1.543 -1.724 -1.635 -1.597 ns
tsu -0.928 -0.975 -1.366 -1.482 -1.598 -1.650 -1.893 -1.491 -1.702 -1.654 -1.934 ns
GCLK
th 1.051 1.114 1.563 1.704 1.845 1.881 2.131 1.724 1.955 1.895 2.173 ns
3.0-V PCI
GCLK tsu 1.081 1.099 1.773 1.999 1.982 2.001 1.796 2.013 1.998 2.019 1.845 ns
PLL th -0.819 -0.819 -1.356 -1.531 -1.467 -1.510 -1.297 -1.534 -1.472 -1.518 -1.344 ns
tsu -0.928 -0.975 -1.366 -1.482 -1.598 -1.650 -1.893 -1.491 -1.702 -1.654 -1.934 ns
GCLK
3.0-V th 1.051 1.114 1.563 1.704 1.845 1.881 2.131 1.724 1.955 1.895 2.173 ns
PCI-X tsu 1.081 1.099 1.773 1.999 1.982 2.001 1.796 2.013 1.998 2.019 1.845 ns
GCLK
PLL th -0.819 -0.819 -1.356 -1.531 -1.467 -1.510 -1.297 -1.534 -1.472 -1.518 -1.344 ns
Table 1–113 lists the EP3SE80 column pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.559 3.559 4.935 5.323 5.638 5.693 5.865 5.323 5.638 5.693 5.865 ns
4mA GCLK
tco 3.859 3.865 5.394 5.826 6.396 6.210 6.631 5.826 6.396 6.210 6.631 ns
PLL
GCLK tco 3.442 3.442 4.781 5.161 5.525 5.523 5.752 5.161 5.525 5.523 5.752 ns
8mA GCLK
tco 3.792 3.798 5.285 5.715 6.283 6.097 6.518 5.715 6.283 6.097 6.518 ns
3.3-V PLL
LVTTL GCLK tco 3.347 3.347 4.644 5.018 5.433 5.371 5.660 5.018 5.433 5.371 5.660 ns
12mA GCLK
tco 3.706 3.712 5.181 5.616 6.191 6.005 6.426 5.616 6.191 6.005 6.426 ns
PLL
GCLK tco 3.302 3.302 4.619 4.994 5.392 5.346 5.619 4.994 5.392 5.346 5.619 ns
16mA GCLK
tco 3.699 3.705 5.164 5.588 6.150 5.964 6.385 5.588 6.150 5.964 6.385 ns
PLL
GCLK tco 3.562 3.562 4.939 5.335 5.645 5.707 5.872 5.335 5.645 5.707 5.872 ns
4mA GCLK
tco 3.865 3.871 5.398 5.831 6.403 6.217 6.638 5.831 6.403 6.217 6.638 ns
PLL
GCLK tco 3.362 3.362 4.649 5.023 5.444 5.377 5.671 5.023 5.444 5.377 5.671 ns
8mA GCLK
tco 3.710 3.716 5.191 5.633 6.202 6.016 6.437 5.633 6.202 6.016 6.437 ns
3.3-V PLL
LVCMOS GCLK tco 3.305 3.305 4.612 4.992 5.418 5.346 5.645 4.992 5.418 5.346 5.645 ns
12mA GCLK
tco 3.717 3.723 5.185 5.612 6.176 5.990 6.411 5.612 6.176 5.990 6.411 ns
PLL
GCLK tco 3.285 3.285 4.558 4.934 5.389 5.289 5.616 4.934 5.389 5.289 5.616 ns
16mA GCLK
tco 3.701 3.707 5.163 5.587 6.147 5.961 6.382 5.587 6.147 5.961 6.382 ns
PLL
GCLK tco 3.504 3.504 4.884 5.274 5.605 5.658 5.832 5.274 5.605 5.658 5.832 ns
4mA GCLK
tco 3.823 3.829 5.361 5.794 6.363 6.177 6.598 5.794 6.363 6.177 6.598 ns
PLL
GCLK tco 3.374 3.374 4.715 5.102 5.468 5.497 5.693 5.102 5.468 5.497 5.693 ns
8mA GCLK
tco 3.712 3.718 5.231 5.660 6.225 6.041 6.459 5.660 6.225 6.041 6.459 ns
3.0-V PLL
LVTTL GCLK tco 3.299 3.299 4.626 5.003 5.394 5.395 5.620 5.003 5.394 5.395 5.620 ns
12mA GCLK
tco 3.676 3.682 5.168 5.591 6.151 5.967 6.386 5.591 6.151 5.967 6.386 ns
PLL
GCLK tco 3.262 3.262 4.561 4.934 5.365 5.345 5.592 4.934 5.365 5.345 5.592 ns
16mA GCLK
tco 3.658 3.664 5.139 5.563 6.123 5.937 6.358 5.563 6.123 5.937 6.358 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.412 3.412 4.773 5.155 5.503 5.551 5.728 5.155 5.503 5.551 5.728 ns
4mA GCLK
tco 3.737 3.743 5.265 5.694 6.260 6.076 6.494 5.694 6.260 6.076 6.494 ns
PLL
GCLK tco 3.275 3.275 4.578 4.956 5.368 5.369 5.593 4.956 5.368 5.369 5.593 ns
8mA GCLK
tco 3.658 3.664 5.141 5.565 6.125 5.941 6.359 5.565 6.125 5.941 6.359 ns
3.0-V PLL
LVCMOS GCLK tco 3.245 3.245 4.532 4.904 5.359 5.300 5.586 4.904 5.359 5.300 5.586 ns
12mA GCLK
tco 3.653 3.659 5.134 5.558 6.117 5.931 6.352 5.558 6.117 5.931 6.352 ns
PLL
GCLK tco 3.223 3.224 4.510 4.883 5.344 5.299 5.571 4.883 5.344 5.299 5.571 ns
16mA GCLK
tco 3.644 3.650 5.120 5.543 6.102 5.916 6.337 5.543 6.102 5.916 6.337 ns
PLL
GCLK tco 3.559 3.559 5.027 5.434 5.750 5.833 5.976 5.434 5.750 5.833 5.976 ns
4mA GCLK
tco 3.859 3.865 5.472 5.921 6.507 6.323 6.742 5.921 6.507 6.323 6.742 ns
PLL
GCLK tco 3.434 3.434 4.854 5.250 5.618 5.636 5.843 5.250 5.618 5.636 5.843 ns
8mA GCLK
tco 3.759 3.765 5.353 5.795 6.375 6.191 6.609 5.795 6.375 6.191 6.609 ns
PLL
2.5 V
GCLK tco 3.334 3.334 4.725 5.114 5.522 5.496 5.749 5.114 5.522 5.496 5.749 ns
12mA GCLK
tco 3.715 3.721 5.266 5.704 6.280 6.094 6.515 5.704 6.280 6.094 6.515 ns
PLL
GCLK tco 3.307 3.307 4.656 5.047 5.479 5.446 5.706 5.047 5.479 5.446 5.706 ns
16mA GCLK
tco 3.677 3.683 5.227 5.662 6.237 6.051 6.472 5.662 6.237 6.051 6.472 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.822 3.822 5.464 5.917 6.154 6.369 6.381 5.917 6.154 6.369 6.381 ns
2mA GCLK
tco 4.050 4.056 5.793 6.281 6.912 6.726 7.147 6.281 6.912 6.726 7.147 ns
PLL
GCLK tco 3.581 3.581 5.104 5.511 5.807 5.909 6.032 5.511 5.807 5.909 6.032 ns
4mA GCLK
tco 3.869 3.875 5.514 5.972 6.564 6.380 6.798 5.972 6.564 6.380 6.798 ns
PLL
GCLK tco 3.463 3.463 4.914 5.321 5.696 5.734 5.923 5.321 5.696 5.734 5.923 ns
6mA GCLK
tco 3.787 3.793 5.407 5.857 6.454 6.268 6.689 5.857 6.454 6.268 6.689 ns
PLL
1.8 V
GCLK tco 3.409 3.409 4.814 5.223 5.630 5.622 5.857 5.223 5.630 5.622 5.857 ns
8mA GCLK
tco 3.767 3.773 5.349 5.803 6.388 6.202 6.623 5.803 6.388 6.202 6.623 ns
PLL
GCLK tco 3.341 3.341 4.718 5.125 5.549 5.508 5.776 5.125 5.549 5.508 5.776 ns
10mA GCLK
tco 3.704 3.710 5.288 5.728 6.307 6.121 6.542 5.728 6.307 6.121 6.542 ns
PLL
GCLK tco 3.317 3.317 4.685 5.078 5.526 5.470 5.753 5.078 5.526 5.470 5.753 ns
12mA GCLK
tco 3.686 3.692 5.267 5.707 6.284 6.098 6.519 5.707 6.284 6.098 6.519 ns
PLL
GCLK tco 3.730 3.730 5.357 5.827 6.092 6.286 6.319 5.827 6.092 6.286 6.319 ns
2mA GCLK
tco 3.996 4.002 5.722 6.213 6.850 6.664 7.085 6.213 6.850 6.664 7.085 ns
PLL
GCLK tco 3.447 3.447 4.903 5.314 5.700 5.730 5.927 5.314 5.700 5.730 5.927 ns
4mA GCLK
tco 3.784 3.790 5.403 5.857 6.458 6.272 6.693 5.857 6.458 6.272 6.693 ns
PLL
GCLK tco 3.369 3.369 4.803 5.206 5.633 5.613 5.860 5.206 5.633 5.613 5.860 ns
6mA GCLK
tco 3.759 3.765 5.336 5.797 6.391 6.205 6.626 5.797 6.391 6.205 6.626 ns
PLL
1.5 V
GCLK tco 3.359 3.359 4.785 5.185 5.613 5.581 5.840 5.185 5.613 5.581 5.840 ns
8mA GCLK
tco 3.748 3.754 5.319 5.772 6.371 6.185 6.606 5.772 6.371 6.185 6.606 ns
PLL
GCLK tco 3.329 3.329 4.701 5.107 5.543 5.499 5.770 5.107 5.543 5.499 5.770 ns
10mA GCLK
tco 3.693 3.699 5.281 5.721 6.301 6.115 6.536 5.721 6.301 6.115 6.536 ns
PLL
GCLK tco 3.280 3.280 4.679 5.072 5.532 5.457 5.759 5.072 5.532 5.457 5.759 ns
12mA GCLK
tco 3.688 3.694 5.264 5.710 6.290 6.104 6.525 5.710 6.290 6.104 6.525 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.620 3.620 5.244 5.726 6.036 6.206 6.263 5.726 6.036 6.206 6.263 ns
2mA GCLK
tco 3.912 3.918 5.648 6.149 6.794 6.608 7.029 6.149 6.794 6.608 7.029 ns
PLL
GCLK tco 3.447 3.447 4.922 5.345 5.750 5.777 5.977 5.345 5.750 5.777 5.977 ns
4mA GCLK
tco 3.789 3.795 5.422 5.887 6.508 6.322 6.743 5.887 6.508 6.322 6.743 ns
PLL
1.2 V
GCLK tco 3.360 3.360 4.795 5.204 5.637 5.622 5.864 5.204 5.637 5.622 5.864 ns
6mA GCLK
tco 3.751 3.757 5.330 5.798 6.395 6.209 6.630 5.798 6.395 6.209 6.630 ns
PLL
GCLK tco 3.336 3.336 4.726 5.144 5.581 5.531 5.808 5.144 5.581 5.531 5.808 ns
8mA GCLK
tco 3.704 3.710 5.302 5.749 6.339 6.153 6.574 5.749 6.339 6.153 6.574 ns
PLL
GCLK tco 3.324 3.324 4.688 5.075 5.512 5.479 5.739 5.075 5.512 5.479 5.739 ns
8mA GCLK
tco 3.704 3.710 5.259 5.696 6.270 6.084 6.505 5.696 6.270 6.084 6.505 ns
PLL
GCLK tco 3.321 3.321 4.681 5.068 5.508 5.485 5.735 5.068 5.508 5.485 5.735 ns
SSTL-2
10mA GCLK
CLASS I tco 3.701 3.707 5.256 5.693 6.266 6.080 6.501 5.693 6.266 6.080 6.501 ns
PLL
GCLK tco 3.309 3.309 4.677 5.064 5.509 5.465 5.736 5.064 5.509 5.465 5.736 ns
12mA GCLK
tco 3.699 3.705 5.256 5.694 6.267 6.081 6.502 5.694 6.267 6.081 6.502 ns
PLL
GCLK tco 3.286 3.286 4.647 5.033 5.494 5.431 5.721 5.033 5.494 5.431 5.721 ns
SSTL-2
16mA GCLK
CLASS II tco 3.690 3.696 5.241 5.678 6.252 6.066 6.487 5.678 6.252 6.066 6.487 ns
PLL
GCLK tco 3.340 3.340 4.700 5.090 5.528 5.507 5.755 5.090 5.528 5.507 5.755 ns
4mA GCLK
tco 3.711 3.717 5.271 5.710 6.286 6.100 6.521 5.710 6.286 6.100 6.521 ns
PLL
GCLK tco 3.323 3.323 4.697 5.087 5.526 5.496 5.753 5.087 5.526 5.496 5.753 ns
6mA GCLK
tco 3.707 3.713 5.269 5.708 6.284 6.098 6.519 5.708 6.284 6.098 6.519 ns
PLL
GCLK tco 3.311 3.311 4.677 5.067 5.517 5.489 5.744 5.067 5.517 5.489 5.744 ns
SSTL-18
8mA GCLK
CLASS I tco 3.696 3.702 5.259 5.699 6.275 6.089 6.510 5.699 6.275 6.089 6.510 ns
PLL
GCLK tco 3.282 3.282 4.659 5.049 5.504 5.450 5.731 5.049 5.504 5.450 5.731 ns
10mA GCLK
tco 3.685 3.691 5.246 5.686 6.262 6.076 6.497 5.686 6.262 6.076 6.497 ns
PLL
GCLK tco 3.281 3.281 4.659 5.049 5.504 5.450 5.731 5.049 5.504 5.450 5.731 ns
12mA GCLK
tco 3.685 3.691 5.246 5.686 6.262 6.076 6.497 5.686 6.262 6.076 6.497 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.290 3.290 4.656 5.044 5.499 5.450 5.726 5.044 5.499 5.450 5.726 ns
8mA GCLK
tco 3.691 3.697 5.245 5.683 6.257 6.071 6.492 5.683 6.257 6.071 6.492 ns
SSTL-18 PLL
CLASS II GCLK tco 3.279 3.279 4.649 5.039 5.511 5.455 5.738 5.039 5.511 5.455 5.738 ns
16mA GCLK
tco 3.694 3.700 5.253 5.692 6.269 6.083 6.504 5.692 6.269 6.083 6.504 ns
PLL
GCLK tco 3.334 3.334 4.714 5.107 5.541 5.521 5.768 5.107 5.541 5.521 5.768 ns
4mA GCLK
tco 3.715 3.721 5.280 5.722 6.299 6.113 6.534 5.722 6.299 6.113 6.534 ns
PLL
GCLK tco 3.309 3.309 4.693 5.086 5.532 5.495 5.759 5.086 5.532 5.495 5.759 ns
6mA GCLK
tco 3.701 3.707 5.270 5.712 6.290 6.104 6.525 5.712 6.290 6.104 6.525 ns
PLL
GCLK tco 3.289 3.289 4.672 5.065 5.518 5.471 5.745 5.065 5.518 5.471 5.745 ns
SSTL-15
8mA GCLK
CLASS I tco 3.690 3.696 5.256 5.698 6.276 6.090 6.511 5.698 6.276 6.090 6.511 ns
PLL
GCLK tco 3.280 3.280 4.666 5.059 5.522 5.460 5.749 5.059 5.522 5.460 5.749 ns
10mA GCLK
tco 3.689 3.695 5.259 5.701 6.280 6.094 6.515 5.701 6.280 6.094 6.515 ns
PLL
GCLK tco 3.275 3.275 4.659 5.051 5.516 5.450 5.743 5.051 5.516 5.450 5.743 ns
12mA GCLK
tco 3.686 3.692 5.254 5.696 6.274 6.088 6.509 5.696 6.274 6.088 6.509 ns
PLL
GCLK tco 3.284 3.284 4.652 5.042 5.499 5.450 5.726 5.042 5.499 5.450 5.726 ns
8mA GCLK
tco 3.688 3.694 5.243 5.682 6.257 6.071 6.492 5.682 6.257 6.071 6.492 ns
SSTL-15 PLL
CLASS II GCLK tco 3.274 3.274 4.646 5.036 5.510 5.456 5.737 5.036 5.510 5.456 5.737 ns
16mA GCLK
tco 3.691 3.697 5.250 5.691 6.268 6.082 6.503 5.691 6.268 6.082 6.503 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.308 3.308 4.665 5.052 5.497 5.464 5.724 5.052 5.497 5.464 5.724 ns
4mA GCLK
tco 3.698 3.704 5.245 5.682 6.255 6.069 6.490 5.682 6.255 6.069 6.490 ns
PLL
GCLK tco 3.294 3.294 4.652 5.040 5.496 5.461 5.723 5.040 5.496 5.461 5.723 ns
6mA GCLK
tco 3.691 3.697 5.243 5.680 6.254 6.068 6.489 5.680 6.254 6.068 6.489 ns
PLL
1.8-V GCLK tco 3.278 3.278 4.642 5.029 5.489 5.434 5.716 5.029 5.489 5.434 5.716 ns
HSTL 8mA GCLK
CLASS I tco 3.683 3.689 5.235 5.673 6.247 6.061 6.482 5.673 6.247 6.061 6.482 ns
PLL
GCLK tco 3.279 3.279 4.644 5.032 5.493 5.436 5.720 5.032 5.493 5.436 5.720 ns
10mA GCLK
tco 3.686 3.692 5.238 5.676 6.251 6.065 6.486 5.676 6.251 6.065 6.486 ns
PLL
GCLK tco 3.271 3.271 4.640 5.028 5.497 5.436 5.724 5.028 5.497 5.436 5.724 ns
12mA GCLK
tco 3.683 3.689 5.241 5.680 6.255 6.069 6.490 5.680 6.255 6.069 6.490 ns
PLL
1.8-V GCLK tco 3.274 3.274 4.631 5.018 5.493 5.432 5.720 5.018 5.493 5.432 5.720 ns
HSTL 16mA GCLK
CLASS II tco 3.691 3.697 5.240 5.677 6.251 6.065 6.486 5.677 6.251 6.065 6.486 ns
PLL
GCLK tco 3.314 3.314 4.676 5.065 5.508 5.480 5.735 5.065 5.508 5.480 5.735 ns
4mA GCLK
tco 3.703 3.709 5.253 5.692 6.266 6.080 6.501 5.692 6.266 6.080 6.501 ns
PLL
GCLK tco 3.302 3.302 4.670 5.060 5.511 5.469 5.738 5.060 5.511 5.469 5.738 ns
6mA GCLK
tco 3.699 3.705 5.254 5.693 6.269 6.083 6.504 5.693 6.269 6.083 6.504 ns
PLL
1.5-V GCLK tco 3.296 3.296 4.664 5.053 5.506 5.463 5.733 5.053 5.506 5.463 5.733 ns
HSTL 8mA GCLK
CLASS I tco 3.695 3.701 5.250 5.689 6.264 6.078 6.499 5.689 6.264 6.078 6.499 ns
PLL
GCLK tco 3.284 3.284 4.652 5.042 5.499 5.450 5.726 5.042 5.499 5.450 5.726 ns
10mA GCLK
tco 3.688 3.694 5.243 5.682 6.257 6.071 6.492 5.682 6.257 6.071 6.492 ns
PLL
GCLK tco 3.279 3.279 4.653 5.043 5.509 5.446 5.736 5.043 5.509 5.446 5.736 ns
12mA GCLK
tco 3.689 3.695 5.250 5.690 6.267 6.081 6.502 5.690 6.267 6.081 6.502 ns
PLL
1.5-V GCLK tco 3.275 3.275 4.629 5.015 5.483 5.425 5.710 5.015 5.483 5.425 5.710 ns
HSTL 16mA GCLK
CLASS II tco 3.687 3.693 5.231 5.668 6.241 6.055 6.476 5.668 6.241 6.055 6.476 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.312 3.312 4.688 5.081 5.529 5.499 5.756 5.081 5.529 5.499 5.756 ns
4mA GCLK
tco 3.706 3.712 5.267 5.709 6.287 6.101 6.522 5.709 6.287 6.101 6.522 ns
PLL
GCLK tco 3.298 3.298 4.674 5.066 5.520 5.482 5.747 5.066 5.520 5.482 5.747 ns
6mA GCLK
tco 3.698 3.704 5.258 5.700 6.278 6.092 6.513 5.700 6.278 6.092 6.513 ns
PLL
1.2-V GCLK tco 3.292 3.292 4.675 5.068 5.529 5.478 5.756 5.068 5.529 5.478 5.756 ns
HSTL 8mA GCLK
CLASS I tco 3.699 3.705 5.266 5.708 6.287 6.101 6.522 5.708 6.287 6.101 6.522 ns
PLL
GCLK tco 3.277 3.277 4.657 5.049 5.515 5.455 5.742 5.049 5.515 5.455 5.742 ns
10mA GCLK
tco 3.688 3.694 5.253 5.695 6.273 6.087 6.508 5.695 6.273 6.087 6.508 ns
PLL
GCLK tco 3.277 3.277 4.657 5.049 5.516 5.456 5.743 5.049 5.516 5.456 5.743 ns
12mA GCLK
tco 3.688 3.694 5.253 5.695 6.274 6.088 6.509 5.695 6.274 6.088 6.509 ns
PLL
1.2-V GCLK tco 3.327 3.327 4.692 5.083 5.529 5.534 5.756 5.083 5.529 5.534 5.756 ns
HSTL 16mA GCLK
CLASS II tco 3.709 3.715 5.269 5.710 6.287 6.101 6.522 5.710 6.287 6.101 6.522 ns
PLL
GCLK tco 3.395 3.395 4.701 5.080 5.554 5.509 5.781 5.080 5.554 5.509 5.781 ns
3.0-V PCI — GCLK
tco 3.812 3.818 5.314 5.744 6.312 6.126 6.547 5.744 6.312 6.126 6.547 ns
PLL
GCLK tco 3.395 3.395 4.701 5.080 5.554 5.509 5.781 5.080 5.554 5.509 5.781 ns
3.0-V
— GCLK
PCI-X tco 3.812 3.818 5.314 5.744 6.312 6.126 6.547 5.744 6.312 6.126 6.547 ns
PLL
Table 1–114 lists the EP3SE80 row pins output timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.248 3.497 4.864 5.250 5.761 5.613 5.911 5.374 5.895 5.739 5.994 ns
4mA GCLK
3.3-V tco 1.449 1.638 2.047 2.126 2.347 2.338 2.318 2.232 2.434 2.445 2.313 ns
PLL
LVCMOS
GCLK tco 3.081 3.314 4.613 4.999 5.490 5.358 5.640 5.119 5.617 5.477 5.716 ns
8mA GCLK
tco 1.251 1.429 1.796 1.866 2.076 2.067 2.109 1.964 2.156 2.167 2.095 ns
PLL
GCLK tco 3.192 3.439 4.808 5.198 5.713 5.565 5.863 5.325 5.849 5.693 5.948 ns
4mA GCLK
tco 1.393 1.580 1.991 2.074 2.299 2.290 2.275 2.183 2.388 2.399 2.267 ns
PLL
3.0-V GCLK tco 3.082 3.319 4.655 5.039 5.549 5.401 5.699 5.163 5.685 5.528 5.783 ns
LVTTL 8mA GCLK
tco 1.268 1.453 1.838 1.915 2.135 2.126 2.130 2.021 2.224 2.234 2.121 ns
PLL
GCLK tco 3.045 3.281 4.582 4.957 5.461 5.313 5.611 5.077 5.592 5.435 5.690 ns
12mA GCLK
tco 1.229 1.402 1.756 1.832 2.047 2.038 2.060 1.935 2.131 2.141 2.048 ns
PLL
GCLK tco 3.106 3.358 4.702 5.091 5.603 5.455 5.753 5.217 5.738 5.581 5.836 ns
4mA GCLK
3.0-V tco 1.307 1.499 1.885 1.967 2.189 2.180 2.166 2.075 2.277 2.287 2.157 ns
PLL
LVCMOS
GCLK tco 3.032 3.265 4.554 4.928 5.422 5.281 5.572 5.047 5.552 5.401 5.650 ns
8mA GCLK
tco 1.207 1.380 1.721 1.793 2.008 1.999 2.032 1.895 2.091 2.101 2.019 ns
PLL
GCLK tco 3.218 3.475 4.940 5.352 5.885 5.737 6.035 5.485 6.028 5.871 6.126 ns
4mA GCLK
tco 1.419 1.616 2.123 2.228 2.471 2.462 2.420 2.343 2.567 2.577 2.419 ns
PLL
2.5 V
GCLK tco 3.124 3.377 4.785 5.189 5.715 5.567 5.865 5.318 5.854 5.697 5.952 ns
8mA GCLK
tco 1.309 1.518 1.968 2.065 2.301 2.292 2.278 2.176 2.393 2.403 2.274 ns
PLL
GCLK tco 3.067 3.321 4.679 5.070 5.589 5.441 5.739 5.195 5.724 5.567 5.822 ns
12mA GCLK
tco 1.263 1.441 1.857 1.946 2.175 2.166 2.187 2.053 2.263 2.273 2.180 ns
PLL
GCLK tco 3.451 3.722 5.326 5.781 6.360 6.212 6.510 5.922 6.504 6.356 6.611 ns
2mA GCLK
tco 1.652 1.862 2.507 2.657 2.761 2.937 2.944 2.780 2.883 3.062 2.957 ns
PLL
GCLK tco 3.229 3.520 4.999 5.412 5.955 5.807 6.105 5.557 6.098 5.949 6.204 ns
4mA GCLK
tco 1.427 1.660 2.180 2.288 2.401 2.532 2.539 2.415 2.522 2.655 2.550 ns
1.8 V PLL
GCLK tco 3.161 3.418 4.846 5.262 5.796 5.648 5.946 5.389 5.925 5.777 6.032 ns
6mA GCLK
tco 1.362 1.558 2.027 2.138 2.301 2.373 2.380 2.247 2.416 2.483 2.378 ns
PLL
GCLK tco 3.136 3.379 4.770 5.176 5.699 5.552 5.849 5.300 5.831 5.683 5.938 ns
8mA GCLK
tco 1.315 1.497 1.950 2.044 2.235 2.276 2.297 2.152 2.344 2.389 2.290 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.362 3.640 5.236 5.694 6.288 6.140 6.438 5.829 6.428 6.280 6.535 ns
2mA GCLK
tco 1.563 1.780 2.417 2.570 2.698 2.865 2.872 2.687 2.818 2.986 2.881 ns
PLL
GCLK tco 3.152 3.396 4.831 5.257 5.797 5.649 5.947 5.383 5.924 5.776 6.031 ns
4mA GCLK
1.5 V tco 1.331 1.522 2.012 2.133 2.304 2.374 2.381 2.241 2.417 2.482 2.377 ns
PLL
GCLK tco 3.125 3.370 4.758 5.168 5.691 5.552 5.841 5.293 5.819 5.677 5.926 ns
6mA GCLK
tco 1.304 1.488 1.939 2.037 2.235 2.268 2.297 2.143 2.344 2.377 2.290 ns
PLL
GCLK tco 3.106 3.359 4.738 5.143 5.672 5.533 5.822 5.269 5.797 5.658 5.904 ns
8mA GCLK
tco 1.285 1.477 1.917 2.019 2.216 2.249 2.278 2.125 2.325 2.355 2.271 ns
PLL
GCLK tco 3.305 3.565 5.146 5.608 6.213 6.065 6.363 5.741 6.344 6.196 6.451 ns
2mA GCLK
tco 1.506 1.705 2.327 2.484 2.637 2.790 2.797 2.599 2.749 2.902 2.797 ns
1.2 V PLL
GCLK tco 3.157 3.400 4.853 5.285 5.838 5.690 5.988 5.408 5.966 5.818 6.073 ns
4mA GCLK
tco 1.336 1.518 2.034 2.161 2.352 2.415 2.422 2.266 2.461 2.524 2.419 ns
PLL
GCLK tco 3.071 3.309 4.672 5.060 5.557 5.426 5.707 5.180 5.686 5.548 5.785 ns
8mA GCLK
SSTL-2 tco 1.248 1.427 1.835 1.918 2.143 2.134 2.177 2.020 2.225 2.236 2.166 ns
PLL
CLASS I
GCLK tco 3.066 3.305 4.669 5.058 5.549 5.424 5.699 5.179 5.679 5.547 5.778 ns
12mA GCLK
tco 1.236 1.415 1.827 1.910 2.135 2.126 2.175 2.012 2.218 2.229 2.165 ns
PLL
GCLK tco 3.057 3.294 4.654 5.042 5.522 5.407 5.672 5.162 5.652 5.529 5.751 ns
SSTL-2
16mA GCLK
CLASS II tco 1.220 1.397 1.802 1.885 2.108 2.099 2.158 1.986 2.191 2.202 2.147 ns
PLL
GCLK tco 3.085 3.323 4.689 5.080 5.578 5.447 5.724 5.199 5.698 5.568 5.802 ns
4mA GCLK
tco 1.264 1.441 1.849 1.934 2.130 2.151 2.192 2.035 2.235 2.254 2.181 ns
PLL
GCLK tco 3.080 3.318 4.687 5.079 5.577 5.446 5.723 5.197 5.696 5.566 5.800 ns
6mA GCLK
tco 1.259 1.436 1.847 1.933 2.129 2.150 2.191 2.033 2.233 2.252 2.179 ns
PLL
GCLK tco 3.069 3.307 4.677 5.069 5.567 5.436 5.713 5.188 5.687 5.557 5.791 ns
SSTL-18
8mA GCLK
CLASS I tco 1.248 1.425 1.837 1.923 2.119 2.140 2.181 2.024 2.224 2.243 2.170 ns
PLL
GCLK tco 3.058 3.296 4.664 5.056 5.554 5.423 5.700 5.176 5.675 5.545 5.779 ns
10mA GCLK
tco 1.237 1.414 1.824 1.910 2.106 2.127 2.168 2.012 2.212 2.231 2.158 ns
PLL
GCLK tco 3.058 3.295 4.664 5.056 5.554 5.423 5.700 5.175 5.675 5.545 5.779 ns
12mA GCLK
tco 1.237 1.413 1.824 1.910 2.106 2.127 2.168 2.011 2.212 2.231 2.158 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.066 3.302 4.663 5.053 5.549 5.418 5.695 5.171 5.669 5.539 5.773 ns
8mA GCLK
SSTL-18 tco 1.245 1.420 1.823 1.907 2.101 2.122 2.163 2.007 2.206 2.225 2.152 ns
PLL
CLASS II
GCLK tco 3.067 3.305 4.669 5.061 5.559 5.428 5.705 5.180 5.680 5.550 5.784 ns
16mA GCLK
tco 1.246 1.423 1.829 1.915 2.111 2.132 2.173 2.016 2.217 2.236 2.163 ns
PLL
GCLK tco 3.088 3.326 4.698 5.091 5.591 5.460 5.740 5.209 5.710 5.580 5.817 ns
4mA GCLK
tco 1.267 1.444 1.858 1.946 2.143 2.167 2.205 2.046 2.247 2.268 2.193 ns
PLL
SSTL-15 GCLK tco 3.074 3.312 4.687 5.081 5.581 5.450 5.727 5.200 5.701 5.571 5.805 ns
CLASS I 6mA GCLK
tco 1.253 1.430 1.847 1.935 2.133 2.154 2.195 2.036 2.238 2.257 2.184 ns
PLL
GCLK tco 3.063 3.300 4.674 5.068 5.568 5.437 5.714 5.187 5.688 5.558 5.792 ns
8mA GCLK
tco 1.242 1.418 1.834 1.922 2.120 2.141 2.182 2.023 2.225 2.244 2.171 ns
PLL
GCLK tco 3.073 3.308 4.662 5.051 5.547 5.416 5.693 5.170 5.666 5.536 5.770 ns
4mA GCLK
tco 1.252 1.426 1.822 1.905 2.099 2.120 2.161 2.006 2.203 2.222 2.149 ns
PLL
GCLK tco 3.066 3.302 4.660 5.050 5.546 5.415 5.692 5.169 5.666 5.536 5.770 ns
6mA GCLK
tco 1.245 1.420 1.820 1.904 2.098 2.119 2.160 2.005 2.203 2.222 2.149 ns
PLL
1.8-V
GCLK tco 3.057 3.294 4.653 5.043 5.539 5.408 5.685 5.162 5.659 5.529 5.763 ns
HSTL
CLASS I 8mA GCLK
tco 1.236 1.412 1.813 1.897 2.091 2.112 2.153 1.998 2.196 2.215 2.142 ns
PLL
GCLK tco 3.060 3.296 4.656 5.046 5.543 5.412 5.689 5.165 5.662 5.532 5.766 ns
10mA GCLK
tco 1.239 1.414 1.816 1.900 2.095 2.116 2.157 2.001 2.199 2.218 2.145 ns
PLL
GCLK tco 3.056 3.293 4.658 5.049 5.546 5.415 5.692 5.168 5.667 5.537 5.771 ns
12mA GCLK
tco 1.235 1.411 1.818 1.903 2.098 2.119 2.160 2.004 2.204 2.223 2.150 ns
PLL
1.8-V GCLK tco 3.064 3.301 4.656 5.046 5.542 5.411 5.688 5.164 5.661 5.531 5.765 ns
HSTL 16mA GCLK
CLASS II tco 1.243 1.419 1.816 1.900 2.094 2.115 2.156 2.000 2.198 2.217 2.144 ns
PLL
GCLK tco 3.079 3.314 4.671 5.061 5.558 5.427 5.704 5.179 5.677 5.547 5.781 ns
4mA GCLK
tco 1.258 1.432 1.831 1.915 2.110 2.131 2.172 2.015 2.214 2.233 2.160 ns
PLL
1.5-V
HSTL
GCLK tco 3.073 3.309 4.672 5.063 5.560 5.429 5.706 5.181 5.680 5.550 5.784 ns
CLASS I 6mA GCLK
tco 1.252 1.427 1.832 1.917 2.112 2.133 2.174 2.017 2.217 2.236 2.163 ns
PLL
GCLK tco 3.069 3.305 4.667 5.058 5.555 5.424 5.701 5.176 5.674 5.544 5.778 ns
8mA GCLK
tco 1.248 1.423 1.827 1.912 2.107 2.128 2.169 2.012 2.211 2.230 2.157 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.081 3.316 4.684 5.077 5.577 5.446 5.723 5.195 5.696 5.566 5.800 ns
4mA GCLK
tco 1.260 1.434 1.844 1.931 2.129 2.150 2.191 2.031 2.233 2.252 2.179 ns
PLL
1.2-V
GCLK tco 3.072 3.308 4.675 5.068 5.568 5.437 5.714 5.186 5.687 5.557 5.791 ns
HSTL
CLASS I 6mA GCLK
tco 1.251 1.426 1.835 1.922 2.120 2.141 2.182 2.022 2.224 2.243 2.170 ns
PLL
GCLK tco 3.071 3.308 4.682 5.076 5.577 5.446 5.723 5.195 5.697 5.567 5.801 ns
8mA GCLK
tco 1.250 1.426 1.842 1.930 2.129 2.150 2.191 2.031 2.234 2.253 2.180 ns
PLL
GCLK tco 3.177 3.415 4.724 5.106 5.581 5.466 5.731 5.228 5.698 5.590 5.810 ns
3.0-V PCI — GCLK
tco 1.333 1.510 1.860 1.936 2.152 2.143 2.217 2.038 2.252 2.248 2.208 ns
PLL
GCLK tco 3.177 3.415 4.724 5.106 5.581 5.466 5.731 5.228 5.698 5.590 5.810 ns
3.0-V
— GCLK
PCI-X tco 1.333 1.510 1.860 1.936 2.152 2.143 2.217 2.038 2.252 2.248 2.208 ns
PLL
Table 1–115 through Table 1–118 list the maximum I/O timing parameters for
EP3SE80 devices for differential I/O standards.
Table 1–115 lists the EP3SE80 column pins input timing parameters for differential
I/O standards.
GCLK th -0.691 -0.701 -1.428 -1.714 -1.834 -1.749 -1.648 -1.756 -1.968 -1.795 -1.697 ns
PLL tsu -0.997 -1.029 -1.181 -1.169 -1.324 -1.269 -1.645 -1.133 -1.542 -1.230 -1.683 ns
th 1.133 1.184 1.415 1.436 1.618 1.551 1.927 1.411 1.858 1.524 1.966 ns
RSDS
tsu 0.960 0.994 1.879 2.224 2.401 2.288 2.194 2.277 2.567 2.345 2.245 ns
GCLK
th -0.691 -0.701 -1.428 -1.714 -1.834 -1.749 -1.648 -1.756 -1.968 -1.795 -1.697 ns
tsu -0.813 -0.852 -1.258 -1.368 -1.483 -1.423 -1.794 -1.368 -1.482 -1.428 -1.837 ns
DIFFERENTIAL GCLK
th 0.942 0.999 1.460 1.595 1.731 1.659 2.031 1.604 1.739 1.674 2.075 ns
1.2-V HSTL
CLASS I GCLK tsu 1.144 1.171 1.802 2.025 2.242 2.134 2.045 2.042 2.260 2.147 2.091 ns
PLL th -0.882 -0.886 -1.383 -1.555 -1.721 -1.641 -1.544 -1.563 -1.729 -1.645 -1.588 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.813 -0.852 -1.258 -1.368 -1.483 -1.423 -1.794 -1.368 -1.482 -1.428 -1.837 ns
DIFFERENTIAL GCLK
th 0.942 0.999 1.460 1.595 1.731 1.659 2.031 1.604 1.739 1.674 2.075 ns
1.2-V HSTL
CLASS II GCLK tsu 1.144 1.171 1.802 2.025 2.242 2.134 2.045 2.042 2.260 2.147 2.091 ns
PLL th -0.882 -0.886 -1.383 -1.555 -1.721 -1.641 -1.544 -1.563 -1.729 -1.645 -1.588 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
GCLK
DIFFERENTIAL th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V HSTL
CLASS I GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
DIFFERENTIAL GCLK
th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V HSTL
CLASS II GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
DIFFERENTIAL GCLK
th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V HSTL
CLASS I GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
GCLK
DIFFERENTIAL th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V HSTL
CLASS II GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
DIFFERENTIAL GCLK
th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V SSTL
CLASS I GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
GCLK
DIFFERENTIAL th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V SSTL
CLASS II GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
DIFFERENTIAL GCLK
th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V SSTL
CLASS I GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
DIFFERENTIAL GCLK
th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V SSTL
CLASS II GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.840 -0.881 -1.289 -1.395 -1.518 -1.460 -1.828 -1.394 -1.510 -1.459 -1.866 ns
DIFFERENTIAL GCLK
th 0.969 1.028 1.493 1.625 1.769 1.697 2.070 1.633 1.772 1.706 2.109 ns
2.5-V SSTL
CLASS I GCLK tsu 1.117 1.142 1.771 1.998 2.207 2.097 2.011 2.016 2.232 2.116 2.062 ns
PLL th -0.855 -0.857 -1.350 -1.525 -1.683 -1.603 -1.505 -1.534 -1.696 -1.613 -1.554 ns
tsu -0.840 -0.881 -1.289 -1.395 -1.518 -1.460 -1.828 -1.394 -1.510 -1.459 -1.866 ns
GCLK
DIFFERENTIAL th 0.969 1.028 1.493 1.625 1.769 1.697 2.070 1.633 1.772 1.706 2.109 ns
2.5-V SSTL
CLASS II GCLK tsu 1.117 1.142 1.771 1.998 2.207 2.097 2.011 2.016 2.232 2.116 2.062 ns
PLL th -0.855 -0.857 -1.350 -1.525 -1.683 -1.603 -1.505 -1.534 -1.696 -1.613 -1.554 ns
Table 1–116 lists the EP3SE80 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
DIFFERENTIAL GCLK
th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V HSTL
CLASS I GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
GCLK
DIFFERENTIAL th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V HSTL
CLASS II GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
DIFFERENTIAL GCLK
th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V HSTL
CLASS I GCLK tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
DIFFERENTIAL GCLK
th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V HSTL
CLASS II GCLK tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
GCLK
DIFFERENTIAL th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V SSTL
CLASS I GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
DIFFERENTIAL GCLK
th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V SSTL
CLASS II GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
GCLK
DIFFERENTIAL th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V SSTL
CLASS I GCLK tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
DIFFERENTIAL GCLK
th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V SSTL
CLASS II GCLK tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
tsu -0.781 -0.827 -1.178 -1.275 -1.384 -1.333 -1.673 -1.273 -1.378 -1.329 -1.712 ns
DIFFERENTIAL GCLK
th 0.907 0.969 1.379 1.502 1.631 1.568 1.912 1.509 1.636 1.573 1.952 ns
2.5-V SSTL
CLASS I GCLK tsu 1.124 1.141 1.827 2.068 2.299 2.178 2.118 2.089 2.323 2.199 2.170 ns
PLL th -0.861 -0.861 -1.411 -1.601 -1.780 -1.688 -1.617 -1.610 -1.792 -1.699 -1.665 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.781 -0.827 -1.178 -1.275 -1.384 -1.333 -1.673 -1.273 -1.378 -1.329 -1.712 ns
DIFFERENTIAL GCLK
th 0.907 0.969 1.379 1.502 1.631 1.568 1.912 1.509 1.636 1.573 1.952 ns
2.5-V SSTL
CLASS II GCLK tsu 1.124 1.141 1.827 2.068 2.299 2.178 2.118 2.089 2.323 2.199 2.170 ns
PLL th -0.861 -0.861 -1.411 -1.601 -1.780 -1.688 -1.617 -1.610 -1.792 -1.699 -1.665 ns
Table 1–117 lists the EP3SE80 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL = VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.179 3.420 4.828 5.233 5.750 5.610 5.910 5.358 5.875 5.736 5.985 ns
4mA GCLK
tco 1.355 1.535 1.984 2.084 2.298 2.309 2.335 2.190 2.407 2.417 2.323 ns
PLL
GCLK tco 3.169 3.410 4.818 5.222 5.740 5.600 5.900 5.347 5.865 5.726 5.975 ns
6mA GCLK
tco 1.345 1.525 1.974 2.073 2.288 2.299 2.325 2.179 2.397 2.407 2.313 ns
PLL
DIFFERENTIAL
GCLK tco 3.169 3.410 4.821 5.226 5.744 5.604 5.904 5.352 5.870 5.731 5.980 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 1.345 1.525 1.977 2.077 2.292 2.303 2.329 2.184 2.402 2.412 2.318 ns
PLL
GCLK tco 3.162 3.404 4.814 5.220 5.738 5.598 5.898 5.345 5.864 5.725 5.974 ns
10mA GCLK
tco 1.338 1.519 1.970 2.071 2.286 2.297 2.323 2.177 2.396 2.406 2.312 ns
PLL
GCLK tco 3.161 3.402 4.811 5.217 5.735 5.595 5.895 5.342 5.860 5.721 5.970 ns
12mA GCLK
tco 1.337 1.517 1.967 2.068 2.283 2.294 2.320 2.174 2.392 2.402 2.308 ns
PLL
DIFFERENTIAL GCLK tco 3.183 3.424 4.832 5.237 5.754 5.614 5.914 5.362 5.880 5.741 5.990 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 1.359 1.539 1.988 2.088 2.302 2.313 2.339 2.194 2.412 2.422 2.328 ns
PLL
GCLK tco 3.173 3.413 4.811 5.214 5.729 5.589 5.889 5.338 5.853 5.714 5.963 ns
4mA GCLK
tco 1.349 1.528 1.967 2.065 2.277 2.288 2.314 2.170 2.385 2.395 2.301 ns
PLL
GCLK tco 3.168 3.409 4.811 5.214 5.730 5.590 5.890 5.339 5.855 5.716 5.965 ns
6mA GCLK
tco 1.344 1.524 1.967 2.065 2.278 2.289 2.315 2.171 2.387 2.397 2.303 ns
PLL
DIFFERENTIAL
1.5-V HSTL
GCLK tco 3.166 3.407 4.810 5.213 5.728 5.588 5.888 5.338 5.854 5.715 5.964 ns
CLASS I 8mA GCLK
tco 1.342 1.522 1.966 2.064 2.276 2.287 2.313 2.170 2.386 2.396 2.302 ns
PLL
GCLK tco 3.158 3.398 4.800 5.203 5.719 5.579 5.879 5.328 5.844 5.705 5.954 ns
10mA GCLK
tco 1.334 1.513 1.956 2.054 2.267 2.278 2.304 2.160 2.376 2.386 2.292 ns
PLL
GCLK tco 3.159 3.400 4.806 5.210 5.727 5.587 5.887 5.336 5.853 5.714 5.963 ns
12mA GCLK
tco 1.335 1.515 1.962 2.061 2.275 2.286 2.312 2.168 2.385 2.395 2.301 ns
PLL
DIFFERENTIAL GCLK tco 3.158 3.397 4.789 5.191 5.705 5.565 5.865 5.315 5.829 5.690 5.939 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 1.334 1.512 1.945 2.042 2.253 2.264 2.290 2.147 2.361 2.371 2.277 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL = VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.170 3.410 4.807 5.209 5.723 5.583 5.883 5.334 5.848 5.709 5.958 ns
4mA GCLK
tco 1.346 1.525 1.963 2.060 2.271 2.282 2.308 2.166 2.380 2.390 2.296 ns
PLL
GCLK tco 3.166 3.407 4.808 5.211 5.727 5.587 5.887 5.336 5.852 5.713 5.962 ns
6mA GCLK
tco 1.342 1.522 1.964 2.062 2.275 2.286 2.312 2.168 2.384 2.394 2.300 ns
PLL
DIFFERENTIAL
GCLK tco 3.156 3.396 4.797 5.200 5.715 5.575 5.875 5.325 5.840 5.701 5.950 ns
1.8-V HSTL
CLASS I 8mA GCLK
tco 1.332 1.511 1.953 2.051 2.263 2.274 2.300 2.157 2.372 2.382 2.288 ns
PLL
GCLK tco 3.154 3.394 4.795 5.197 5.713 5.573 5.873 5.323 5.838 5.699 5.948 ns
10mA GCLK
tco 1.330 1.509 1.951 2.048 2.261 2.272 2.298 2.155 2.370 2.380 2.286 ns
PLL
GCLK tco 3.154 3.395 4.798 5.202 5.718 5.578 5.878 5.327 5.844 5.705 5.954 ns
12mA GCLK
tco 1.330 1.510 1.954 2.053 2.266 2.277 2.303 2.159 2.376 2.386 2.292 ns
PLL
DIFFERENTIAL GCLK tco 3.158 3.398 4.795 5.197 5.712 5.572 5.872 5.322 5.837 5.698 5.947 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 1.334 1.513 1.951 2.048 2.260 2.271 2.297 2.154 2.369 2.379 2.285 ns
PLL
GCLK tco 3.184 3.427 4.840 5.245 5.762 5.622 5.922 5.370 5.887 5.748 5.997 ns
4mA GCLK
tco 1.360 1.542 1.996 2.096 2.310 2.321 2.347 2.202 2.419 2.429 2.335 ns
PLL
GCLK tco 3.170 3.413 4.828 5.234 5.752 5.612 5.912 5.360 5.878 5.739 5.988 ns
6mA GCLK
tco 1.346 1.528 1.984 2.085 2.300 2.311 2.337 2.192 2.410 2.420 2.326 ns
PLL
DIFFERENTIAL
1.5-V SSTL
GCLK tco 3.158 3.400 4.811 5.216 5.734 5.594 5.894 5.342 5.860 5.721 5.970 ns
CLASS I 8mA GCLK
tco 1.334 1.515 1.967 2.067 2.282 2.293 2.319 2.174 2.392 2.402 2.308 ns
PLL
GCLK tco 3.158 3.400 4.814 5.220 5.738 5.598 5.898 5.346 5.865 5.726 5.975 ns
10mA GCLK
tco 1.334 1.515 1.970 2.071 2.286 2.297 2.323 2.178 2.397 2.407 2.313 ns
PLL
GCLK tco 3.154 3.396 4.807 5.212 5.731 5.591 5.891 5.339 5.857 5.718 5.967 ns
12mA GCLK
tco 1.330 1.511 1.963 2.063 2.279 2.290 2.316 2.171 2.389 2.399 2.305 ns
PLL
GCLK tco 3.158 3.398 4.800 5.203 5.719 5.579 5.879 5.328 5.844 5.705 5.954 ns
DIFFERENTIAL 8mA GCLK
tco 1.334 1.513 1.956 2.054 2.267 2.278 2.304 2.160 2.376 2.386 2.292 ns
1.5-V SSTL PLL
CLASS II GCLK tco 3.159 3.400 4.808 5.213 5.730 5.590 5.890 5.338 5.856 5.717 5.966 ns
16mA GCLK
tco 1.335 1.515 1.964 2.064 2.278 2.289 2.315 2.170 2.388 2.398 2.304 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL = VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.187 3.430 4.839 5.243 5.760 5.620 5.920 5.369 5.885 5.746 5.995 ns
4mA GCLK
tco 1.363 1.545 1.995 2.094 2.308 2.319 2.345 2.201 2.417 2.427 2.333 ns
PLL
GCLK tco 3.176 3.418 4.827 5.231 5.748 5.608 5.908 5.357 5.873 5.734 5.983 ns
6mA GCLK
tco 1.352 1.533 1.983 2.082 2.296 2.307 2.333 2.189 2.405 2.415 2.321 ns
PLL
DIFFERENTIAL
GCLK tco 3.171 3.414 4.827 5.232 5.749 5.609 5.909 5.358 5.875 5.736 5.985 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 1.347 1.529 1.983 2.083 2.297 2.308 2.334 2.190 2.407 2.417 2.323 ns
PLL
GCLK tco 3.157 3.399 4.809 5.213 5.730 5.590 5.890 5.339 5.857 5.718 5.967 ns
10mA GCLK
tco 1.333 1.514 1.965 2.064 2.278 2.289 2.315 2.171 2.389 2.399 2.305 ns
PLL
GCLK tco 3.155 3.397 4.807 5.211 5.728 5.588 5.888 5.337 5.854 5.715 5.964 ns
12mA GCLK
tco 1.331 1.512 1.963 2.062 2.276 2.287 2.313 2.169 2.386 2.396 2.302 ns
PLL
GCLK tco 3.159 3.399 4.799 5.201 5.716 5.576 5.876 5.326 5.841 5.702 5.951 ns
DIFFERENTIAL 8mA GCLK
1.8-V SSTL tco 1.335 1.514 1.955 2.052 2.264 2.275 2.301 2.158 2.373 2.383 2.289 ns
PLL
CLASS II GCLK tco 3.159 3.400 4.807 5.211 5.728 5.588 5.888 5.337 5.854 5.715 5.964 ns
16mA GCLK
tco 1.335 1.515 1.963 2.062 2.276 2.287 2.313 2.169 2.386 2.396 2.302 ns
PLL
GCLK tco 3.175 3.417 4.823 5.226 5.742 5.602 5.902 5.352 5.867 5.728 5.977 ns
8mA GCLK
tco 1.351 1.532 1.979 2.077 2.290 2.301 2.327 2.184 2.399 2.409 2.315 ns
PLL
DIFFERENTIAL
2.5-V SSTL
GCLK tco 3.175 3.417 4.823 5.226 5.742 5.602 5.902 5.352 5.867 5.728 5.977 ns
CLASS I 10mA GCLK
tco 1.351 1.532 1.979 2.077 2.290 2.301 2.327 2.184 2.399 2.409 2.315 ns
PLL
GCLK tco 3.165 3.407 4.813 5.216 5.732 5.592 5.892 5.342 5.858 5.719 5.968 ns
12mA GCLK
tco 1.341 1.522 1.969 2.067 2.280 2.291 2.317 2.174 2.390 2.400 2.306 ns
PLL
DIFFERENTIAL GCLK tco 3.158 3.399 4.799 5.201 5.716 5.576 5.876 5.326 5.841 5.702 5.951 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 1.334 1.514 1.955 2.052 2.264 2.275 2.301 2.158 2.373 2.383 2.289 ns
PLL
Table 1–118 lists the EP3SE80 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.744 2.932 4.083 4.440 4.901 4.771 5.049 4.540 5.002 4.873 5.107 ns
LVDS — GCLK
tco 0.931 1.057 1.249 1.300 1.458 1.480 1.486 1.382 1.545 1.563 1.456 ns
PLL
GCLK tco 3.136 3.376 4.754 5.156 5.664 5.526 5.797 5.281 5.790 5.652 5.871 ns
LVDS_E_1R — GCLK
tco 1.333 1.511 1.930 2.026 2.231 2.245 2.243 2.133 2.343 2.352 2.229 ns
PLL
GCLK tco 3.118 3.366 4.792 5.202 5.718 5.580 5.851 5.332 5.851 5.713 5.932 ns
LVDS_E_3R — GCLK
tco 1.315 1.501 1.968 2.072 2.285 2.299 2.297 2.184 2.404 2.413 2.290 ns
PLL
GCLK tco 2.744 2.932 4.083 4.440 4.901 4.771 5.049 4.540 5.002 4.873 5.107 ns
MINI-LVDS — GCLK
tco 0.931 1.057 1.249 1.300 1.458 1.480 1.486 1.382 1.545 1.563 1.456 ns
PLL
GCLK tco 3.136 3.376 4.754 5.156 5.664 5.526 5.797 5.281 5.790 5.652 5.871 ns
MINI-
— GCLK
LVDS_E_1R tco 1.333 1.511 1.930 2.026 2.231 2.245 2.243 2.133 2.343 2.352 2.229 ns
PLL
GCLK tco 3.118 3.366 4.792 5.202 5.718 5.580 5.851 5.332 5.851 5.713 5.932 ns
MINI-
— GCLK
LVDS_E_3R tco 1.315 1.501 1.968 2.072 2.285 2.299 2.297 2.184 2.404 2.413 2.290 ns
PLL
GCLK tco 2.744 2.932 4.083 4.440 4.901 4.771 5.049 4.540 5.002 4.873 5.107 ns
RSDS — GCLK
tco 0.931 1.057 1.249 1.300 1.458 1.480 1.486 1.382 1.545 1.563 1.456 ns
PLL
GCLK tco 3.136 3.376 4.754 5.156 5.664 5.526 5.797 5.281 5.790 5.652 5.871 ns
RSDS_E_1R — GCLK
tco 1.333 1.511 1.930 2.026 2.231 2.245 2.243 2.133 2.343 2.352 2.229 ns
PLL
GCLK tco 3.118 3.366 4.792 5.202 5.718 5.580 5.851 5.332 5.851 5.713 5.932 ns
RSDS_E_3R — GCLK
tco 1.315 1.501 1.968 2.072 2.285 2.299 2.297 2.184 2.404 2.413 2.290 ns
PLL
GCLK tco 3.162 3.409 4.828 5.236 5.751 5.613 5.884 5.365 5.880 5.742 5.961 ns
4mA GCLK
tco 1.359 1.544 2.004 2.106 2.318 2.332 2.330 2.217 2.433 2.442 2.319 ns
PLL
DIFFERENTIAL GCLK tco 3.148 3.395 4.815 5.223 5.738 5.600 5.871 5.351 5.867 5.729 5.948 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 1.345 1.530 1.991 2.093 2.305 2.319 2.317 2.203 2.420 2.429 2.306 ns
PLL
GCLK tco 3.144 3.391 4.813 5.223 5.739 5.601 5.872 5.351 5.869 5.731 5.950 ns
8mA GCLK
tco 1.341 1.526 1.989 2.093 2.306 2.320 2.318 2.203 2.422 2.431 2.308 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.160 3.406 4.814 5.220 5.733 5.595 5.866 5.348 5.862 5.724 5.943 ns
4mA GCLK
tco 1.357 1.541 1.990 2.090 2.300 2.314 2.312 2.200 2.415 2.424 2.301 ns
PLL
DIFFERENTIAL GCLK tco 3.149 3.396 4.810 5.216 5.730 5.592 5.863 5.345 5.859 5.721 5.940 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 1.346 1.531 1.986 2.086 2.297 2.311 2.309 2.197 2.412 2.421 2.298 ns
PLL
GCLK tco 3.146 3.393 4.808 5.214 5.728 5.590 5.861 5.343 5.858 5.720 5.939 ns
8mA GCLK
tco 1.343 1.528 1.984 2.084 2.295 2.309 2.307 2.195 2.411 2.420 2.297 ns
PLL
GCLK tco 3.157 3.403 4.809 5.215 5.727 5.589 5.860 5.343 5.856 5.718 5.937 ns
4mA GCLK
tco 1.354 1.538 1.985 2.085 2.294 2.308 2.306 2.195 2.409 2.418 2.295 ns
PLL
GCLK tco 3.147 3.394 4.807 5.213 5.726 5.588 5.859 5.342 5.856 5.718 5.937 ns
6mA GCLK
tco 1.344 1.529 1.983 2.083 2.293 2.307 2.305 2.194 2.409 2.418 2.295 ns
PLL
GCLK tco 3.133 3.380 4.792 5.198 5.712 5.574 5.845 5.327 5.841 5.703 5.922 ns
8mA GCLK
DIFFERENTIAL tco 1.330 1.515 1.968 2.068 2.279 2.293 2.291 2.179 2.394 2.403 2.280 ns
PLL
1.8-V
HSTL CLASS I GCLK tco 3.130 3.376 4.788 5.194 5.708 5.570 5.841 5.323 5.837 5.699 5.918 ns
10mA GCLK
tco 1.327 1.511 1.964 2.064 2.275 2.289 2.287 2.175 2.390 2.399 2.276 ns
PLL
GCLK tco 3.127 3.374 4.789 5.197 5.711 5.573 5.844 5.326 5.841 5.703 5.922 ns
12mA GCLK
tco 1.324 1.509 1.965 2.067 2.278 2.292 2.290 2.178 2.394 2.403 2.280 ns
PLL
GCLK tco 3.128 3.374 4.779 5.185 5.698 5.560 5.831 5.313 5.827 5.689 5.908 ns
16mA GCLK
tco 1.325 1.509 1.955 2.055 2.265 2.279 2.277 2.165 2.380 2.389 2.266 ns
PLL
GCLK tco 3.177 3.427 4.850 5.258 5.774 5.636 5.907 5.387 5.903 5.765 5.984 ns
4mA GCLK
tco 1.374 1.562 2.026 2.128 2.341 2.355 2.353 2.239 2.456 2.465 2.342 ns
PLL
DIFFERENTIAL GCLK tco 3.153 3.403 4.832 5.241 5.757 5.619 5.890 5.370 5.888 5.750 5.969 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 1.350 1.538 2.008 2.111 2.324 2.338 2.336 2.222 2.441 2.450 2.327 ns
PLL
GCLK tco 3.136 3.384 4.810 5.219 5.735 5.597 5.868 5.348 5.866 5.728 5.947 ns
8mA GCLK
tco 1.333 1.519 1.986 2.089 2.302 2.316 2.314 2.200 2.419 2.428 2.305 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.181 3.430 4.850 5.258 5.773 5.635 5.906 5.387 5.903 5.765 5.984 ns
4mA GCLK
tco 1.378 1.565 2.026 2.128 2.340 2.354 2.352 2.239 2.456 2.465 2.342 ns
PLL
GCLK tco 3.166 3.415 4.836 5.243 5.758 5.620 5.891 5.372 5.888 5.750 5.969 ns
6mA GCLK
tco 1.363 1.550 2.012 2.113 2.325 2.339 2.337 2.224 2.441 2.450 2.327 ns
PLL
DIFFERENTIAL GCLK tco 3.155 3.404 4.831 5.240 5.755 5.617 5.888 5.369 5.886 5.748 5.967 ns
1.8-V SSTL 8mA GCLK
CLASS I tco 1.352 1.539 2.007 2.110 2.322 2.336 2.334 2.221 2.439 2.448 2.325 ns
PLL
GCLK tco 3.135 3.384 4.808 5.216 5.732 5.594 5.865 5.346 5.862 5.724 5.943 ns
10mA GCLK
tco 1.332 1.519 1.984 2.086 2.299 2.313 2.311 2.198 2.415 2.424 2.301 ns
PLL
GCLK tco 3.132 3.380 4.804 5.213 5.728 5.590 5.861 5.342 5.859 5.721 5.940 ns
12mA GCLK
tco 1.329 1.515 1.980 2.083 2.295 2.309 2.307 2.194 2.412 2.421 2.298 ns
PLL
GCLK tco 3.137 3.384 4.795 5.201 5.714 5.576 5.847 5.329 5.843 5.705 5.924 ns
8mA GCLK
DIFFERENTIAL tco 1.334 1.519 1.971 2.071 2.281 2.295 2.293 2.181 2.396 2.405 2.282 ns
PLL
1.8-V SSTL
CLASS II GCLK tco 3.130 3.377 4.794 5.202 5.717 5.579 5.850 5.332 5.848 5.710 5.929 ns
16mA GCLK
tco 1.327 1.512 1.970 2.072 2.284 2.298 2.296 2.184 2.401 2.410 2.287 ns
PLL
GCLK tco 3.168 3.416 4.832 5.239 5.753 5.615 5.886 5.368 5.883 5.745 5.964 ns
8mA GCLK
DIFFERENTIAL tco 1.365 1.551 2.008 2.109 2.320 2.334 2.332 2.220 2.436 2.445 2.322 ns
PLL
2.5-V SSTL
CLASS I GCLK tco 3.150 3.399 4.817 5.224 5.738 5.600 5.871 5.353 5.868 5.730 5.949 ns
12mA GCLK
tco 1.347 1.534 1.993 2.094 2.305 2.319 2.317 2.205 2.421 2.430 2.307 ns
PLL
DIFFERENTIAL GCLK tco 3.136 3.383 4.794 5.200 5.713 5.575 5.846 5.329 5.843 5.705 5.924 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 1.333 1.518 1.970 2.070 2.280 2.294 2.292 2.181 2.396 2.405 2.282 ns
PLL
Table 1–119 and Table 1–120 list the EP3SE80 regional clock (RCLK) adder values that
must be added to the GCLK values. Use these adder values to determine I/O timing
when the I/O pin is driven using the regional clock. This applies to all I/O standards
supported by Stratix III devices.
Table 1–119 lists the EP3SE80 column pin delay adders when using the regional clock.
Table 1–119. EP3SE80 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL = VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.251 0.187 0.308 0.239 0.389 0.103 0.176 0.199 0.102 0.099 0.172 ns
RCLK PLL input adder 1.895 1.982 2.923 3.16 3.601 4.28 4.913 3.261 4.491 4.295 4.833 ns
RCLK output adder -0.069 0.253 0.551 0.865 0.693 -0.059 -0.119 1.06 0.135 0.066 -0.046 ns
RCLK PLL output adder -1.545 -1.367 -1.715 -1.587 -1.976 -3.145 -3.116 -1.541 -3.343 -3.027 -3.123 ns
Table 1–120 lists the EP3SE80 row pin delay adders when using the regional clock.
Table 1–120. EP3SE80 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.014 0.014 0.018 0.005 -0.022 0.0 0.052 -0.004 -0.014 -0.008 0.056 ns
RCLK PLL input adder 0.116 0.122 0.192 0.206 0.231 0.217 0.367 0.198 0.223 0.21 0.371 ns
RCLK output adder 0.004 0.003 0.029 0.042 0.056 0.039 -0.021 0.047 0.061 0.049 -0.025 ns
RCLK PLL output adder -0.089 -0.089 -0.145 -0.161 -0.197 -0.169 -0.332 -0.151 -0.186 -0.157 -0.333 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.041 -1.017 -1.453 -1.615 -1.846 -1.785 -2.147 -1.615 -1.846 -1.785 -2.147 ns
GCLK
th 1.183 1.155 1.647 1.840 2.092 2.016 2.384 1.840 2.092 2.016 2.384 ns
3.0-V LVTTL
GCLK tsu -1.308 -1.278 -1.830 -2.025 -2.260 -2.186 -2.654 -2.025 -2.260 -2.186 -2.654 ns
PLL th 1.611 1.576 2.261 2.516 2.792 2.688 3.179 2.516 2.792 2.688 3.179 ns
tsu -1.041 -1.017 -1.453 -1.615 -1.846 -1.785 -2.147 -1.615 -1.846 -1.785 -2.147 ns
GCLK
3.0-V th 1.183 1.155 1.647 1.840 2.092 2.016 2.384 1.840 2.092 2.016 2.384 ns
LVCMOS tsu -1.308 -1.278 -1.830 -2.025 -2.260 -2.186 -2.654 -2.025 -2.260 -2.186 -2.654 ns
GCLK
PLL th 1.611 1.576 2.261 2.516 2.792 2.688 3.179 2.516 2.792 2.688 3.179 ns
tsu -1.036 -1.012 -1.462 -1.627 -1.865 -1.804 -2.166 -1.627 -1.865 -1.804 -2.166 ns
GCLK
th 1.178 1.150 1.656 1.852 2.111 2.035 2.403 1.852 2.111 2.035 2.403 ns
2.5 V
GCLK tsu -1.303 -1.273 -1.839 -2.037 -2.279 -2.205 -2.673 -2.037 -2.279 -2.205 -2.673 ns
PLL th 1.606 1.571 2.270 2.528 2.811 2.707 3.198 2.528 2.811 2.707 3.198 ns
tsu -1.058 -1.034 -1.502 -1.663 -1.863 -1.802 -2.164 -1.663 -1.863 -1.802 -2.164 ns
GCLK
th 1.202 1.174 1.696 1.888 2.109 2.033 2.401 1.888 2.109 2.033 2.401 ns
1.8 V
GCLK tsu -1.325 -1.295 -1.879 -2.073 -2.277 -2.203 -2.671 -2.073 -2.277 -2.203 -2.671 ns
PLL th 1.630 1.595 2.310 2.564 2.809 2.705 3.196 2.564 2.809 2.705 3.196 ns
tsu -1.048 -1.024 -1.479 -1.631 -1.793 -1.732 -2.094 -1.631 -1.793 -1.732 -2.094 ns
GCLK
th 1.192 1.164 1.673 1.856 2.039 1.963 2.331 1.856 2.039 1.963 2.331 ns
1.5 V
GCLK tsu -1.315 -1.285 -1.856 -2.041 -2.207 -2.133 -2.601 -2.041 -2.207 -2.133 -2.601 ns
PLL th 1.620 1.585 2.287 2.532 2.739 2.635 3.126 2.532 2.739 2.635 3.126 ns
tsu -0.996 -0.972 -1.402 -1.532 -1.637 -1.576 -1.938 -1.532 -1.637 -1.576 -1.938 ns
GCLK
th 1.140 1.112 1.596 1.757 1.883 1.807 2.175 1.757 1.883 1.807 2.175 ns
1.2 V
GCLK tsu -1.263 -1.233 -1.779 -1.942 -2.051 -1.977 -2.445 -1.942 -2.051 -1.977 -2.445 ns
PLL th 1.568 1.533 2.210 2.433 2.583 2.479 2.970 2.433 2.583 2.479 2.970 ns
tsu -0.967 -0.943 -1.374 -1.516 -1.639 -1.578 -1.940 -1.516 -1.639 -1.578 -1.940 ns
GCLK
SSTL-2 th 1.111 1.083 1.568 1.741 1.885 1.809 2.177 1.741 1.885 1.809 2.177 ns
CLASS I tsu -1.234 -1.204 -1.751 -1.926 -2.053 -1.979 -2.447 -1.926 -2.053 -1.979 -2.447 ns
GCLK
PLL th 1.539 1.504 2.182 2.417 2.585 2.481 2.972 2.417 2.585 2.481 2.972 ns
tsu -0.967 -0.943 -1.374 -1.516 -1.639 -1.578 -1.940 -1.516 -1.639 -1.578 -1.940 ns
GCLK
SSTL-2 th 1.111 1.083 1.568 1.741 1.885 1.809 2.177 1.741 1.885 1.809 2.177 ns
CLASS II tsu -1.234 -1.204 -1.751 -1.926 -2.053 -1.979 -2.447 -1.926 -2.053 -1.979 -2.447 ns
GCLK
PLL th 1.539 1.504 2.182 2.417 2.585 2.481 2.972 2.417 2.585 2.481 2.972 ns
tsu -0.961 -0.937 -1.361 -1.508 -1.636 -1.573 -1.938 -1.508 -1.636 -1.573 -1.938 ns
GCLK
SSTL-18 th 1.105 1.077 1.555 1.730 1.879 1.803 2.170 1.730 1.879 1.803 2.170 ns
CLASS I tsu -1.228 -1.198 -1.738 -1.918 -2.050 -1.974 -2.445 -1.918 -2.050 -1.974 -2.445 ns
GCLK
PLL th 1.533 1.498 2.169 2.406 2.579 2.475 2.965 2.406 2.579 2.475 2.965 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.961 -0.937 -1.361 -1.508 -1.636 -1.573 -1.938 -1.508 -1.636 -1.573 -1.938 ns
GCLK
SSTL-18 th 1.105 1.077 1.555 1.730 1.879 1.803 2.170 1.730 1.879 1.803 2.170 ns
CLASS II tsu -1.228 -1.198 -1.738 -1.918 -2.050 -1.974 -2.445 -1.918 -2.050 -1.974 -2.445 ns
GCLK
PLL th 1.533 1.498 2.169 2.406 2.579 2.475 2.965 2.406 2.579 2.475 2.965 ns
tsu -0.950 -0.926 -1.350 -1.497 -1.617 -1.554 -1.919 -1.497 -1.617 -1.554 -1.919 ns
GCLK
SSTL-15 th 1.094 1.066 1.543 1.719 1.860 1.784 2.151 1.719 1.860 1.784 2.151 ns
CLASS I tsu -1.217 -1.187 -1.727 -1.907 -2.031 -1.955 -2.426 -1.907 -2.031 -1.955 -2.426 ns
GCLK
PLL th 1.522 1.487 2.157 2.395 2.560 2.456 2.946 2.395 2.560 2.456 2.946 ns
tsu -0.950 -0.926 -1.350 -1.497 -1.617 -1.554 -1.919 -1.497 -1.617 -1.554 -1.919 ns
GCLK
1.8-V HSTL th 1.094 1.066 1.543 1.719 1.860 1.784 2.151 1.719 1.860 1.784 2.151 ns
CLASS I tsu -1.217 -1.187 -1.727 -1.907 -2.031 -1.955 -2.426 -1.907 -2.031 -1.955 -2.426 ns
GCLK
PLL th 1.522 1.487 2.157 2.395 2.560 2.456 2.946 2.395 2.560 2.456 2.946 ns
tsu -0.961 -0.937 -1.361 -1.508 -1.636 -1.573 -1.938 -1.508 -1.636 -1.573 -1.938 ns
GCLK
1.8-V HSTL th 1.105 1.077 1.555 1.730 1.879 1.803 2.170 1.730 1.879 1.803 2.170 ns
CLASS II tsu -1.228 -1.198 -1.738 -1.918 -2.050 -1.974 -2.445 -1.918 -2.050 -1.974 -2.445 ns
GCLK
PLL th 1.533 1.498 2.169 2.406 2.579 2.475 2.965 2.406 2.579 2.475 2.965 ns
tsu -0.961 -0.937 -1.361 -1.508 -1.636 -1.573 -1.938 -1.508 -1.636 -1.573 -1.938 ns
GCLK
1.5-V HSTL th 1.105 1.077 1.555 1.730 1.879 1.803 2.170 1.730 1.879 1.803 2.170 ns
CLASS I tsu -1.228 -1.198 -1.738 -1.918 -2.050 -1.974 -2.445 -1.918 -2.050 -1.974 -2.445 ns
GCLK
PLL th 1.533 1.498 2.169 2.406 2.579 2.475 2.965 2.406 2.579 2.475 2.965 ns
tsu -0.950 -0.926 -1.350 -1.497 -1.617 -1.554 -1.919 -1.497 -1.617 -1.554 -1.919 ns
GCLK
1.5-V HSTL th 1.094 1.066 1.543 1.719 1.860 1.784 2.151 1.719 1.860 1.784 2.151 ns
CLASS II tsu -1.217 -1.187 -1.727 -1.907 -2.031 -1.955 -2.426 -1.907 -2.031 -1.955 -2.426 ns
GCLK
PLL th 1.522 1.487 2.157 2.395 2.560 2.456 2.946 2.395 2.560 2.456 2.946 ns
tsu -0.950 -0.926 -1.350 -1.497 -1.617 -1.554 -1.919 -1.497 -1.617 -1.554 -1.919 ns
GCLK
1.2-V HSTL th 1.094 1.066 1.543 1.719 1.860 1.784 2.151 1.719 1.860 1.784 2.151 ns
CLASS I tsu -1.217 -1.187 -1.727 -1.907 -2.031 -1.955 -2.426 -1.907 -2.031 -1.955 -2.426 ns
GCLK
PLL th 1.522 1.487 2.157 2.395 2.560 2.456 2.946 2.395 2.560 2.456 2.946 ns
tsu -0.938 -0.914 -1.340 -1.486 -1.601 -1.538 -1.903 -1.486 -1.601 -1.538 -1.903 ns
GCLK
1.2-V HSTL th 1.082 1.054 1.533 1.708 1.844 1.768 2.135 1.708 1.844 1.768 2.135 ns
CLASS II tsu -1.205 -1.175 -1.717 -1.896 -2.015 -1.939 -2.410 -1.896 -2.015 -1.939 -2.410 ns
GCLK
PLL th 1.510 1.475 2.147 2.384 2.544 2.440 2.930 2.384 2.544 2.440 2.930 ns
tsu -0.938 -0.914 -1.340 -1.486 -1.601 -1.538 -1.903 -1.486 -1.601 -1.538 -1.903 ns
GCLK
th 1.082 1.054 1.533 1.708 1.844 1.768 2.135 1.708 1.844 1.768 2.135 ns
3.0-V PCI
GCLK tsu -1.205 -1.175 -1.717 -1.896 -2.015 -1.939 -2.410 -1.896 -2.015 -1.939 -2.410 ns
PLL th 1.510 1.475 2.147 2.384 2.544 2.440 2.930 2.384 2.544 2.440 2.930 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.041 -1.017 -1.453 -1.615 -1.846 -1.785 -2.147 -1.615 -1.846 -1.785 -2.147 ns
GCLK
3.0-V th 1.183 1.155 1.647 1.840 2.092 2.016 2.384 1.840 2.092 2.016 2.384 ns
PCI-X tsu -1.308 -1.278 -1.830 -2.025 -2.260 -2.186 -2.654 -2.025 -2.260 -2.186 -2.654 ns
GCLK
PLL th 1.611 1.576 2.261 2.516 2.792 2.688 3.179 2.516 2.792 2.688 3.179 ns
Table 1–122 lists the EP3SE110 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.977 -1.031 -1.432 -1.538 -1.688 -1.637 -1.974 -1.545 -1.689 -1.642 -2.015 ns
GCLK
th 1.102 1.171 1.629 1.761 1.931 1.868 2.209 1.777 1.942 1.883 2.251 ns
1.5 V
GCLK tsu 1.050 1.065 1.727 1.961 2.016 2.031 1.968 1.977 2.031 1.913 2.017 ns
PLL th -0.787 -0.784 -1.310 -1.493 -1.501 -1.540 -1.467 -1.499 -1.505 -1.417 -1.512 ns
tsu -0.917 -0.978 -1.353 -1.437 -1.529 -1.478 -1.815 -1.449 -1.534 -1.487 -1.860 ns
GCLK
th 1.042 1.118 1.550 1.660 1.772 1.709 2.050 1.681 1.787 1.728 2.096 ns
1.2 V
GCLK tsu 1.110 1.118 1.806 2.062 2.175 2.190 2.127 2.073 2.186 2.068 2.172 ns
PLL th -0.847 -0.837 -1.389 -1.594 -1.660 -1.699 -1.626 -1.595 -1.660 -1.572 -1.667 ns
tsu -0.854 -0.906 -1.284 -1.381 -1.534 -1.440 -1.824 -1.384 -1.535 -1.481 -1.862 ns
GCLK
SSTL-2 th 0.979 1.046 1.481 1.603 1.776 1.671 2.059 1.616 1.787 1.720 2.098 ns
CLASS I tsu 1.085 1.054 1.712 1.946 2.166 2.095 2.010 2.005 2.184 2.066 2.061 ns
GCLK
PLL th -0.824 -0.775 -1.298 -1.481 -1.651 -1.608 -1.511 -1.530 -1.658 -1.570 -1.559 ns
tsu -0.854 -0.906 -1.284 -1.381 -1.534 -1.440 -1.824 -1.384 -1.535 -1.481 -1.862 ns
GCLK
SSTL-2 th 0.979 1.046 1.481 1.603 1.776 1.671 2.059 1.616 1.787 1.720 2.098 ns
CLASS II tsu 1.085 1.054 1.712 1.946 2.166 2.095 2.010 2.005 2.184 2.066 2.061 ns
GCLK
PLL th -0.824 -0.775 -1.298 -1.481 -1.651 -1.608 -1.511 -1.530 -1.658 -1.570 -1.559 ns
tsu -0.891 -0.943 -1.315 -1.410 -1.524 -1.472 -1.811 -1.417 -1.530 -1.479 -1.853 ns
GCLK
SSTL-18 th 1.016 1.083 1.512 1.631 1.765 1.702 2.042 1.647 1.778 1.719 2.085 ns
CLASS I tsu 1.136 1.153 1.844 2.087 2.180 2.193 2.129 2.102 2.193 2.076 2.177 ns
GCLK
PLL th -0.873 -0.872 -1.427 -1.621 -1.667 -1.704 -1.632 -1.627 -1.670 -1.581 -1.676 ns
tsu -0.891 -0.943 -1.315 -1.410 -1.524 -1.472 -1.811 -1.417 -1.530 -1.479 -1.853 ns
GCLK
SSTL-18 th 1.016 1.083 1.512 1.631 1.765 1.702 2.042 1.647 1.778 1.719 2.085 ns
CLASS II tsu 1.136 1.153 1.844 2.087 2.180 2.193 2.129 2.102 2.193 2.076 2.177 ns
GCLK
PLL th -0.873 -0.872 -1.427 -1.621 -1.667 -1.704 -1.632 -1.627 -1.670 -1.581 -1.676 ns
tsu -0.877 -0.931 -1.300 -1.400 -1.506 -1.454 -1.793 -1.406 -1.513 -1.462 -1.836 ns
GCLK
SSTL-15 th 1.002 1.071 1.498 1.621 1.747 1.684 2.024 1.636 1.761 1.702 2.068 ns
CLASS I tsu 1.150 1.165 1.857 2.097 2.198 2.211 2.147 2.113 2.210 2.093 2.194 ns
GCLK
PLL th -0.887 -0.884 -1.439 -1.631 -1.685 -1.722 -1.650 -1.638 -1.687 -1.598 -1.693 ns
tsu -0.891 -0.943 -1.315 -1.410 -1.524 -1.472 -1.811 -1.417 -1.530 -1.479 -1.853 ns
GCLK
1.8-V HSTL th 1.016 1.083 1.512 1.631 1.765 1.702 2.042 1.647 1.778 1.719 2.085 ns
CLASS I tsu 1.136 1.153 1.844 2.087 2.180 2.193 2.129 2.102 2.193 2.076 2.177 ns
GCLK
PLL th -0.873 -0.872 -1.427 -1.621 -1.667 -1.704 -1.632 -1.627 -1.670 -1.581 -1.676 ns
tsu -0.891 -0.943 -1.315 -1.410 -1.524 -1.472 -1.811 -1.417 -1.530 -1.479 -1.853 ns
GCLK
1.8-V HSTL th 1.016 1.083 1.512 1.631 1.765 1.702 2.042 1.647 1.778 1.719 2.085 ns
CLASS II tsu 1.136 1.153 1.844 2.087 2.180 2.193 2.129 2.102 2.193 2.076 2.177 ns
GCLK
PLL th -0.873 -0.872 -1.427 -1.621 -1.667 -1.704 -1.632 -1.627 -1.670 -1.581 -1.676 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.877 -0.931 -1.300 -1.400 -1.506 -1.454 -1.793 -1.406 -1.513 -1.462 -1.836 ns
GCLK
1.5-V HSTL th 1.002 1.071 1.498 1.621 1.747 1.684 2.024 1.636 1.761 1.702 2.068 ns
CLASS I tsu 1.150 1.165 1.857 2.097 2.198 2.211 2.147 2.113 2.210 2.093 2.194 ns
GCLK
PLL th -0.887 -0.884 -1.439 -1.631 -1.685 -1.722 -1.650 -1.638 -1.687 -1.598 -1.693 ns
tsu -0.877 -0.931 -1.300 -1.400 -1.506 -1.454 -1.793 -1.406 -1.513 -1.462 -1.836 ns
GCLK
1.5-V HSTL th 1.002 1.071 1.498 1.621 1.747 1.684 2.024 1.636 1.761 1.702 2.068 ns
CLASS II tsu 1.150 1.165 1.857 2.097 2.198 2.211 2.147 2.113 2.210 2.093 2.194 ns
GCLK
PLL th -0.887 -0.884 -1.439 -1.631 -1.685 -1.722 -1.650 -1.638 -1.687 -1.598 -1.693 ns
tsu -0.868 -0.919 -1.291 -1.390 -1.490 -1.438 -1.777 -1.397 -1.497 -1.446 -1.820 ns
GCLK
1.2-V HSTL th 0.993 1.059 1.489 1.611 1.731 1.668 2.008 1.627 1.745 1.686 2.052 ns
CLASS I tsu 1.159 1.177 1.866 2.107 2.214 2.227 2.163 2.122 2.226 2.109 2.210 ns
GCLK
PLL th -0.896 -0.896 -1.448 -1.641 -1.701 -1.738 -1.666 -1.647 -1.703 -1.614 -1.709 ns
tsu -0.868 -0.919 -1.291 -1.390 -1.490 -1.438 -1.777 -1.397 -1.497 -1.446 -1.820 ns
GCLK
1.2-V HSTL th 0.993 1.059 1.489 1.611 1.731 1.668 2.008 1.627 1.745 1.686 2.052 ns
CLASS II tsu 1.159 1.177 1.866 2.107 2.214 2.227 2.163 2.122 2.226 2.109 2.210 ns
GCLK
PLL th -0.896 -0.896 -1.448 -1.641 -1.701 -1.738 -1.666 -1.647 -1.703 -1.614 -1.709 ns
tsu -0.923 -0.971 -1.361 -1.477 -1.739 -1.645 -2.029 -1.487 -1.742 -1.688 -2.069 ns
GCLK
th 1.047 1.110 1.558 1.699 1.981 1.876 2.264 1.719 1.994 1.927 2.305 ns
3.0-V PCI
GCLK tsu 1.016 0.989 1.635 1.850 1.961 1.890 1.805 1.902 1.977 1.859 1.854 ns
PLL th -0.756 -0.711 -1.221 -1.385 -1.446 -1.403 -1.306 -1.427 -1.451 -1.363 -1.352 ns
tsu -0.923 -0.971 -1.361 -1.477 -1.739 -1.645 -2.029 -1.487 -1.742 -1.688 -2.069 ns
GCLK
3.0-V th 1.047 1.110 1.558 1.699 1.981 1.876 2.264 1.719 1.994 1.927 2.305 ns
PCI-X tsu 1.016 0.989 1.635 1.850 1.961 1.890 1.805 1.902 1.977 1.859 1.854 ns
GCLK
PLL th -0.756 -0.711 -1.221 -1.385 -1.446 -1.403 -1.306 -1.427 -1.451 -1.363 -1.352 ns
Table 1–123 lists the EP3SE110 column pins output timing parameters for
single-ended I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock UnitS
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.489 3.489 4.830 5.213 5.686 5.551 5.863 5.213 5.686 5.551 5.863 ns
4mA GCLK
tco 3.868 3.881 5.415 5.837 6.442 6.278 6.680 5.837 6.442 6.278 6.680 ns
PLL
GCLK tco 3.422 3.422 4.721 5.102 5.573 5.438 5.750 5.102 5.573 5.438 5.750 ns
8mA GCLK
tco 3.801 3.814 5.306 5.726 6.329 6.165 6.567 5.726 6.329 6.165 6.567 ns
3.3-V PLL
LVTTL GCLK tco 3.336 3.336 4.617 5.003 5.481 5.346 5.658 5.003 5.481 5.346 5.658 ns
12mA GCLK
tco 3.715 3.728 5.203 5.628 6.237 6.073 6.475 5.628 6.237 6.073 6.475 ns
PLL
GCLK tco 3.329 3.329 4.600 4.975 5.440 5.305 5.617 4.975 5.440 5.305 5.617 ns
16mA GCLK
tco 3.709 3.721 5.186 5.600 6.196 6.032 6.434 5.600 6.196 6.032 6.434 ns
PLL
GCLK tco 3.495 3.495 4.834 5.218 5.693 5.558 5.870 5.218 5.693 5.558 5.870 ns
4mA GCLK
tco 3.874 3.887 5.420 5.842 6.449 6.285 6.687 5.842 6.449 6.285 6.687 ns
PLL
GCLK tco 3.340 3.340 4.627 5.020 5.492 5.357 5.669 5.020 5.492 5.357 5.669 ns
8mA GCLK
tco 3.719 3.732 5.213 5.645 6.248 6.084 6.486 5.645 6.248 6.084 6.486 ns
3.3-V PLL
LVCMOS GCLK tco 3.347 3.347 4.621 4.999 5.466 5.331 5.643 4.999 5.466 5.331 5.643 ns
12mA GCLK
tco 3.726 3.739 5.207 5.624 6.222 6.058 6.460 5.624 6.222 6.058 6.460 ns
PLL
GCLK tco 3.331 3.331 4.599 4.974 5.437 5.302 5.614 4.974 5.437 5.302 5.614 ns
16mA GCLK
tco 3.710 3.723 5.184 5.599 6.193 6.029 6.431 5.599 6.193 6.029 6.431 ns
PLL
GCLK tco 3.453 3.453 4.797 5.181 5.653 5.518 5.830 5.181 5.653 5.518 5.830 ns
4mA GCLK
tco 3.831 3.845 5.382 5.805 6.409 6.245 6.647 5.805 6.409 6.245 6.647 ns
PLL
GCLK tco 3.342 3.342 4.667 5.047 5.515 5.381 5.691 5.047 5.515 5.381 5.691 ns
8mA GCLK
tco 3.724 3.734 5.252 5.674 6.272 6.109 6.509 5.674 6.272 6.109 6.509 ns
3.0-V PLL
LVTTL GCLK tco 3.306 3.306 4.604 4.978 5.441 5.307 5.618 4.978 5.441 5.307 5.618 ns
12mA GCLK
tco 3.686 3.698 5.189 5.602 6.198 6.035 6.435 5.602 6.198 6.035 6.435 ns
PLL
GCLK tco 3.288 3.288 4.575 4.950 5.413 5.278 5.590 4.950 5.413 5.278 5.590 ns
16mA GCLK
tco 3.667 3.680 5.160 5.575 6.169 6.005 6.407 5.575 6.169 6.005 6.407 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock UnitS
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.367 3.367 4.701 5.081 5.550 5.416 5.726 5.081 5.550 5.416 5.726 ns
4mA GCLK
tco 3.748 3.759 5.286 5.707 6.307 6.144 6.544 5.707 6.307 6.144 6.544 ns
PLL
GCLK tco 3.288 3.288 4.577 4.952 5.415 5.281 5.591 4.952 5.415 5.281 5.591 ns
8mA GCLK
tco 3.669 3.680 5.163 5.577 6.172 6.009 6.413 5.577 6.172 6.009 6.413 ns
3.0-V PLL
LVCMOS GCLK tco 3.283 3.283 4.570 4.945 5.407 5.272 5.584 4.945 5.407 5.272 5.584 ns
12mA GCLK
tco 3.662 3.675 5.155 5.570 6.163 5.999 6.401 5.570 6.163 5.999 6.401 ns
PLL
GCLK tco 3.274 3.274 4.556 4.930 5.392 5.257 5.569 4.930 5.392 5.257 5.569 ns
16mA GCLK
tco 3.653 3.666 5.141 5.554 6.148 5.984 6.387 5.554 6.148 5.984 6.387 ns
PLL
GCLK tco 3.489 3.489 4.908 5.308 5.797 5.663 5.974 5.308 5.797 5.663 5.974 ns
4mA GCLK
tco 3.868 3.881 5.493 5.932 6.554 6.391 6.791 5.932 6.554 6.391 6.791 ns
PLL
GCLK tco 3.389 3.389 4.789 5.182 5.665 5.531 5.841 5.182 5.665 5.531 5.841 ns
8mA GCLK
tco 3.771 3.781 5.374 5.807 6.422 6.259 6.659 5.807 6.422 6.259 6.659 ns
PLL
2.5 V
GCLK tco 3.345 3.345 4.702 5.091 5.570 5.435 5.747 5.091 5.570 5.435 5.747 ns
12mA GCLK
tco 3.726 3.737 5.287 5.716 6.326 6.162 6.564 5.716 6.326 6.162 6.564 ns
PLL
GCLK tco 3.307 3.307 4.663 5.049 5.527 5.392 5.704 5.049 5.527 5.392 5.704 ns
16mA GCLK
tco 3.687 3.699 5.248 5.674 6.283 6.119 6.521 5.674 6.283 6.119 6.521 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock UnitS
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.680 3.680 5.229 5.668 6.202 6.067 6.379 5.668 6.202 6.067 6.379 ns
2mA GCLK
tco 4.059 4.072 5.815 6.291 6.958 6.794 7.196 6.291 6.958 6.794 7.196 ns
PLL
GCLK tco 3.499 3.499 4.950 5.359 5.854 5.720 6.030 5.359 5.854 5.720 6.030 ns
4mA GCLK
tco 3.881 3.891 5.536 5.984 6.611 6.448 6.848 5.984 6.611 6.448 6.848 ns
PLL
GCLK tco 3.417 3.417 4.843 5.244 5.744 5.609 5.921 5.244 5.744 5.609 5.921 ns
6mA GCLK
tco 3.796 3.809 5.429 5.868 6.500 6.336 6.738 5.868 6.500 6.336 6.738 ns
PLL
1.8 V
GCLK tco 3.397 3.397 4.785 5.190 5.678 5.543 5.855 5.190 5.678 5.543 5.855 ns
8mA GCLK
tco 3.776 3.789 5.370 5.814 6.434 6.270 6.672 5.814 6.434 6.270 6.672 ns
PLL
GCLK tco 3.334 3.334 4.724 5.115 5.597 5.462 5.774 5.115 5.597 5.462 5.774 ns
10mA GCLK
tco 3.713 3.726 5.309 5.740 6.353 6.189 6.591 5.740 6.353 6.189 6.591 ns
PLL
GCLK tco 3.316 3.316 4.703 5.094 5.574 5.439 5.751 5.094 5.574 5.439 5.751 ns
12mA GCLK
tco 3.696 3.708 5.289 5.719 6.330 6.166 6.568 5.719 6.330 6.166 6.568 ns
PLL
GCLK tco 3.626 3.626 5.158 5.600 6.140 6.005 6.317 5.600 6.140 6.005 6.317 ns
2mA GCLK
tco 4.005 4.018 5.743 6.224 6.896 6.732 7.134 6.224 6.896 6.732 7.134 ns
PLL
GCLK tco 3.414 3.414 4.839 5.244 5.748 5.613 5.925 5.244 5.748 5.613 5.925 ns
4mA GCLK
tco 3.793 3.806 5.424 5.867 6.504 6.340 6.742 5.867 6.504 6.340 6.742 ns
PLL
GCLK tco 3.389 3.389 4.772 5.184 5.681 5.546 5.858 5.184 5.681 5.546 5.858 ns
6mA GCLK
tco 3.769 3.781 5.357 5.809 6.437 6.273 6.675 5.809 6.437 6.273 6.675 ns
PLL
1.5 V
GCLK tco 3.378 3.378 4.755 5.159 5.661 5.526 5.838 5.159 5.661 5.526 5.838 ns
8mA GCLK
tco 3.757 3.770 5.340 5.784 6.417 6.253 6.655 5.784 6.417 6.253 6.655 ns
PLL
GCLK tco 3.323 3.323 4.717 5.108 5.591 5.456 5.768 5.108 5.591 5.456 5.768 ns
10mA GCLK
tco 3.702 3.715 5.302 5.733 6.347 6.183 6.585 5.733 6.347 6.183 6.585 ns
PLL
GCLK tco 3.318 3.318 4.700 5.097 5.580 5.445 5.757 5.097 5.580 5.445 5.757 ns
12mA GCLK
tco 3.696 3.710 5.286 5.720 6.336 6.172 6.574 5.720 6.336 6.172 6.574 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock UnitS
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.542 3.542 5.084 5.536 6.084 5.949 6.261 5.536 6.084 5.949 6.261 ns
2mA GCLK
tco 3.921 3.934 5.669 6.159 6.840 6.676 7.078 6.159 6.840 6.676 7.078 ns
PLL
GCLK tco 3.419 3.419 4.858 5.274 5.798 5.663 5.975 5.274 5.798 5.663 5.975 ns
4mA GCLK
tco 3.799 3.811 5.444 5.899 6.554 6.390 6.792 5.899 6.554 6.390 6.792 ns
PLL
1.2 V
GCLK tco 3.381 3.381 4.766 5.185 5.685 5.550 5.862 5.185 5.685 5.550 5.862 ns
6mA GCLK
tco 3.761 3.773 5.351 5.809 6.441 6.277 6.679 5.809 6.441 6.277 6.679 ns
PLL
GCLK tco 3.334 3.334 4.738 5.136 5.629 5.494 5.806 5.136 5.629 5.494 5.806 ns
8mA GCLK
tco 3.712 3.726 5.323 5.759 6.385 6.221 6.623 5.759 6.385 6.221 6.623 ns
PLL
GCLK tco 3.334 3.334 4.695 5.083 5.560 5.425 5.737 5.083 5.560 5.425 5.737 ns
8mA GCLK
tco 3.713 3.726 5.280 5.706 6.316 6.152 6.554 5.706 6.316 6.152 6.554 ns
PLL
GCLK tco 3.331 3.331 4.692 5.080 5.556 5.421 5.733 5.080 5.556 5.421 5.733 ns
SSTL-2
10mA GCLK
CLASS I tco 3.710 3.723 5.277 5.702 6.312 6.148 6.550 5.702 6.312 6.148 6.550 ns
PLL
GCLK tco 3.329 3.329 4.692 5.081 5.557 5.422 5.734 5.081 5.557 5.422 5.734 ns
12mA GCLK
tco 3.709 3.721 5.277 5.703 6.313 6.149 6.551 5.703 6.313 6.149 6.551 ns
PLL
GCLK tco 3.320 3.320 4.677 5.065 5.542 5.407 5.719 5.065 5.542 5.407 5.719 ns
SSTL-2
16mA GCLK
CLASS II tco 3.700 3.712 5.263 5.689 6.298 6.134 6.536 5.689 6.298 6.134 6.536 ns
PLL
GCLK tco 3.341 3.341 4.707 5.097 5.576 5.441 5.753 5.097 5.576 5.441 5.753 ns
4mA GCLK
tco 3.720 3.733 5.292 5.720 6.332 6.168 6.570 5.720 6.332 6.168 6.570 ns
PLL
GCLK tco 3.337 3.337 4.705 5.095 5.574 5.439 5.751 5.095 5.574 5.439 5.751 ns
6mA GCLK
tco 3.716 3.729 5.290 5.718 6.330 6.166 6.568 5.718 6.330 6.166 6.568 ns
PLL
GCLK tco 3.326 3.326 4.695 5.086 5.565 5.430 5.742 5.086 5.565 5.430 5.742 ns
SSTL-18
8mA GCLK
CLASS I tco 3.706 3.718 5.280 5.709 6.321 6.157 6.559 5.709 6.321 6.157 6.559 ns
PLL
GCLK tco 3.315 3.315 4.682 5.073 5.552 5.417 5.729 5.073 5.552 5.417 5.729 ns
10mA GCLK
tco 3.694 3.707 5.268 5.696 6.308 6.144 6.546 5.696 6.308 6.144 6.546 ns
PLL
GCLK tco 3.315 3.315 4.682 5.073 5.552 5.417 5.729 5.073 5.552 5.417 5.729 ns
12mA GCLK
tco 3.694 3.707 5.267 5.696 6.308 6.144 6.546 5.696 6.308 6.144 6.546 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock UnitS
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.321 3.321 4.681 5.070 5.547 5.412 5.724 5.070 5.547 5.412 5.724 ns
8mA GCLK
tco 3.701 3.713 5.266 5.693 6.303 6.139 6.541 5.693 6.303 6.139 6.541 ns
SSTL-18 PLL
CLASS II GCLK tco 3.324 3.324 4.689 5.079 5.559 5.424 5.736 5.079 5.559 5.424 5.736 ns
16mA GCLK
tco 3.703 3.716 5.274 5.702 6.315 6.151 6.553 5.702 6.315 6.151 6.553 ns
PLL
GCLK tco 3.345 3.345 4.716 5.109 5.589 5.454 5.766 5.109 5.589 5.454 5.766 ns
4mA GCLK
tco 3.723 3.737 5.302 5.731 6.345 6.181 6.583 5.731 6.345 6.181 6.583 ns
PLL
GCLK tco 3.331 3.331 4.706 5.099 5.580 5.445 5.757 5.099 5.580 5.445 5.757 ns
6mA GCLK
tco 3.711 3.723 5.291 5.722 6.336 6.172 6.574 5.722 6.336 6.172 6.574 ns
PLL
GCLK tco 3.320 3.320 4.692 5.085 5.566 5.431 5.743 5.085 5.566 5.431 5.743 ns
SSTL-15
8mA GCLK
CLASS I tco 3.699 3.712 5.278 5.708 6.322 6.158 6.560 5.708 6.322 6.158 6.560 ns
PLL
GCLK tco 3.319 3.319 4.695 5.088 5.570 5.435 5.747 5.088 5.570 5.435 5.747 ns
10mA GCLK
tco 3.698 3.711 5.281 5.711 6.326 6.162 6.564 5.711 6.326 6.162 6.564 ns
PLL
GCLK tco 3.316 3.316 4.690 5.083 5.564 5.429 5.741 5.083 5.564 5.429 5.741 ns
12mA GCLK
tco 3.695 3.708 5.275 5.705 6.320 6.156 6.558 5.705 6.320 6.156 6.558 ns
PLL
GCLK tco 3.318 3.318 4.679 5.069 5.547 5.412 5.724 5.069 5.547 5.412 5.724 ns
8mA GCLK
tco 3.697 3.710 5.264 5.692 6.303 6.139 6.541 5.692 6.303 6.139 6.541 ns
SSTL-15 PLL
CLASS II GCLK tco 3.321 3.321 4.686 5.078 5.558 5.423 5.735 5.078 5.558 5.423 5.735 ns
16mA GCLK
tco 3.700 3.713 5.271 5.700 6.314 6.150 6.552 5.700 6.314 6.150 6.552 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock UnitS
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.328 3.328 4.681 5.069 5.545 5.410 5.722 5.069 5.545 5.410 5.722 ns
4mA GCLK
tco 3.707 3.720 5.266 5.691 6.301 6.137 6.539 5.691 6.301 6.137 6.539 ns
PLL
GCLK tco 3.321 3.321 4.679 5.067 5.544 5.409 5.721 5.067 5.544 5.409 5.721 ns
6mA GCLK
tco 3.701 3.713 5.264 5.691 6.300 6.136 6.538 5.691 6.300 6.136 6.538 ns
PLL
1.8-V GCLK tco 3.313 3.313 4.671 5.060 5.537 5.402 5.714 5.060 5.537 5.402 5.714 ns
HSTL 8mA GCLK
CLASS I tco 3.693 3.705 5.257 5.683 6.293 6.129 6.531 5.683 6.293 6.129 6.531 ns
PLL
GCLK tco 3.316 3.316 4.674 5.063 5.541 5.406 5.718 5.063 5.541 5.406 5.718 ns
10mA GCLK
tco 3.695 3.708 5.260 5.686 6.297 6.133 6.535 5.686 6.297 6.133 6.535 ns
PLL
GCLK tco 3.313 3.313 4.677 5.067 5.545 5.410 5.722 5.067 5.545 5.410 5.722 ns
12mA GCLK
tco 3.692 3.705 5.262 5.689 6.301 6.137 6.539 5.689 6.301 6.137 6.539 ns
PLL
1.8-V GCLK tco 3.321 3.321 4.676 5.064 5.541 5.406 5.718 5.064 5.541 5.406 5.718 ns
HSTL 16mA GCLK
CLASS II tco 3.700 3.713 5.261 5.687 6.297 6.133 6.535 5.687 6.297 6.133 6.535 ns
PLL
GCLK tco 3.333 3.333 4.689 5.079 5.556 5.421 5.733 5.079 5.556 5.421 5.733 ns
4mA GCLK
tco 3.712 3.725 5.275 5.701 6.312 6.148 6.550 5.701 6.312 6.148 6.550 ns
PLL
GCLK tco 3.329 3.329 4.690 5.080 5.559 5.424 5.736 5.080 5.559 5.424 5.736 ns
6mA GCLK
tco 3.708 3.721 5.276 5.704 6.315 6.151 6.553 5.704 6.315 6.151 6.553 ns
PLL
1.5-V GCLK tco 3.325 3.325 4.686 5.076 5.554 5.419 5.731 5.076 5.554 5.419 5.731 ns
HSTL 8mA GCLK
CLASS I tco 3.704 3.717 5.271 5.699 6.310 6.146 6.548 5.699 6.310 6.146 6.548 ns
PLL
GCLK tco 3.318 3.318 4.679 5.069 5.547 5.412 5.724 5.069 5.547 5.412 5.724 ns
10mA GCLK
tco 3.697 3.710 5.264 5.692 6.303 6.139 6.541 5.692 6.303 6.139 6.541 ns
PLL
GCLK tco 3.319 3.319 4.686 5.077 5.557 5.422 5.734 5.077 5.557 5.422 5.734 ns
12mA GCLK
tco 3.697 3.711 5.271 5.699 6.313 6.149 6.551 5.699 6.313 6.149 6.551 ns
PLL
1.5-V GCLK tco 3.317 3.317 4.667 5.055 5.531 5.396 5.708 5.055 5.531 5.396 5.708 ns
HSTL 16mA GCLK
CLASS II tco 3.695 3.709 5.252 5.677 6.287 6.123 6.525 5.677 6.287 6.123 6.525 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock UnitS
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.336 3.336 4.703 5.096 5.577 5.442 5.754 5.096 5.577 5.442 5.754 ns
4mA GCLK
tco 3.716 3.728 5.289 5.719 6.333 6.169 6.571 5.719 6.333 6.169 6.571 ns
PLL
GCLK tco 3.328 3.328 4.694 5.087 5.568 5.433 5.745 5.087 5.568 5.433 5.745 ns
6mA GCLK
tco 3.707 3.720 5.280 5.710 6.324 6.160 6.562 5.710 6.324 6.160 6.562 ns
PLL
1.2-V GCLK tco 3.329 3.329 4.702 5.095 5.577 5.442 5.754 5.095 5.577 5.442 5.754 ns
HSTL 8mA GCLK
CLASS I tco 3.707 3.721 5.287 5.718 6.333 6.169 6.571 5.718 6.333 6.169 6.571 ns
PLL
GCLK tco 3.318 3.318 4.689 5.082 5.563 5.428 5.740 5.082 5.563 5.428 5.740 ns
10mA GCLK
tco 3.697 3.710 5.274 5.704 6.319 6.155 6.557 5.704 6.319 6.155 6.557 ns
PLL
GCLK tco 3.318 3.318 4.689 5.082 5.564 5.429 5.741 5.082 5.564 5.429 5.741 ns
12mA GCLK
tco 3.697 3.710 5.274 5.705 6.320 6.156 6.558 5.705 6.320 6.156 6.558 ns
PLL
1.2-V GCLK tco 3.339 3.339 4.705 5.097 5.577 5.442 5.754 5.097 5.577 5.442 5.754 ns
HSTL 16mA GCLK
CLASS II tco 3.718 3.731 5.290 5.720 6.333 6.169 6.571 5.720 6.333 6.169 6.571 ns
PLL
GCLK tco 3.442 3.442 4.750 5.131 5.602 5.467 5.779 5.131 5.602 5.467 5.779 ns
3.0-V PCI — GCLK
tco 3.821 3.834 5.335 5.756 6.358 6.194 6.596 5.756 6.358 6.194 6.596 ns
PLL
GCLK tco 3.442 3.442 4.750 5.131 5.602 5.467 5.779 5.131 5.602 5.467 5.779 ns
3.0-V
— GCLK
PCI-X tco 3.821 3.834 5.335 5.756 6.358 6.194 6.596 5.756 6.358 6.194 6.596 ns
PLL
Table 1–124 lists the EP3SE110 row pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.210 3.463 4.801 5.186 5.712 5.552 5.859 5.312 5.839 5.708 5.942 ns
4mA GCLK
3.3-V tco 1.462 1.632 2.012 2.092 2.330 2.350 2.351 2.245 2.439 2.459 2.346 ns
PLL
LVCMOS
GCLK tco 3.067 3.300 4.592 4.984 5.503 5.343 5.650 5.104 5.621 5.490 5.724 ns
8mA GCLK
tco 1.264 1.469 1.803 1.890 2.059 2.079 2.080 1.977 2.161 2.181 2.068 ns
PLL
GCLK tco 3.169 3.417 4.764 5.149 5.669 5.509 5.816 5.272 5.793 5.662 5.896 ns
4mA GCLK
tco 1.406 1.586 1.975 2.055 2.282 2.302 2.303 2.196 2.393 2.413 2.300 ns
PLL
3.0-V GCLK tco 3.068 3.305 4.627 5.007 5.524 5.364 5.671 5.130 5.648 5.516 5.750 ns
LVTTL 8mA GCLK
tco 1.281 1.474 1.838 1.913 2.118 2.138 2.139 2.034 2.229 2.248 2.135 ns
PLL
GCLK tco 3.031 3.267 4.567 4.942 5.454 5.294 5.601 5.062 5.574 5.443 5.677 ns
12mA GCLK
tco 1.242 1.436 1.778 1.848 2.030 2.050 2.051 1.948 2.136 2.155 2.042 ns
PLL
GCLK tco 3.090 3.329 4.662 5.042 5.560 5.400 5.707 5.163 5.684 5.552 5.786 ns
4mA GCLK
3.0-V tco 1.320 1.498 1.873 1.948 2.172 2.192 2.193 2.088 2.282 2.301 2.188 ns
PLL
LVCMOS
GCLK tco 3.018 3.251 4.539 4.913 5.426 5.266 5.573 5.032 5.546 5.414 5.648 ns
8mA GCLK
tco 1.220 1.420 1.750 1.819 1.991 2.011 2.012 1.908 2.096 2.115 2.002 ns
PLL
GCLK tco 3.195 3.454 4.872 5.275 5.814 5.654 5.961 5.404 5.945 5.814 6.048 ns
4mA GCLK
tco 1.432 1.623 2.083 2.181 2.454 2.474 2.475 2.356 2.572 2.591 2.478 ns
PLL
2.5 V
GCLK tco 3.110 3.351 4.748 5.140 5.672 5.512 5.819 5.267 5.801 5.669 5.903 ns
8mA GCLK
tco 1.322 1.520 1.959 2.046 2.284 2.304 2.305 2.189 2.398 2.417 2.304 ns
PLL
GCLK tco 3.053 3.307 4.664 5.054 5.581 5.421 5.728 5.178 5.706 5.575 5.809 ns
12mA GCLK
tco 1.276 1.476 1.875 1.960 2.158 2.178 2.179 2.066 2.268 2.287 2.174 ns
PLL
GCLK tco 3.458 3.730 5.334 5.790 6.350 6.220 6.500 5.931 6.493 6.365 6.600 ns
2mA GCLK
tco 1.663 1.874 2.520 2.669 2.929 2.949 2.954 2.792 3.056 3.075 2.967 ns
PLL
GCLK tco 3.233 3.528 5.007 5.421 5.945 5.815 6.095 5.566 6.087 5.958 6.193 ns
4mA GCLK
tco 1.438 1.672 2.193 2.300 2.524 2.544 2.549 2.427 2.650 2.668 2.560 ns
1.8 V PLL
GCLK tco 3.168 3.426 4.854 5.271 5.786 5.656 5.936 5.398 5.914 5.786 6.021 ns
6mA GCLK
tco 1.373 1.570 2.040 2.150 2.365 2.385 2.390 2.259 2.477 2.496 2.388 ns
PLL
GCLK tco 3.108 3.352 4.777 5.177 5.691 5.559 5.839 5.303 5.820 5.692 5.927 ns
8mA GCLK
tco 1.313 1.496 1.963 2.056 2.268 2.288 2.293 2.164 2.383 2.402 2.294 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.369 3.648 5.244 5.703 6.278 6.148 6.428 5.838 6.417 6.289 6.524 ns
2mA GCLK
tco 1.574 1.792 2.430 2.582 2.857 2.877 2.882 2.699 2.980 2.999 2.891 ns
PLL
GCLK tco 3.127 3.390 4.839 5.266 5.787 5.657 5.937 5.392 5.913 5.785 6.020 ns
4mA GCLK
1.5 V tco 1.332 1.534 2.025 2.145 2.366 2.386 2.391 2.253 2.476 2.495 2.387 ns
PLL
GCLK tco 3.100 3.343 4.766 5.170 5.691 5.551 5.834 5.294 5.816 5.685 5.916 ns
6mA GCLK
tco 1.305 1.487 1.952 2.049 2.260 2.280 2.285 2.155 2.371 2.390 2.282 ns
PLL
GCLK tco 3.091 3.334 4.744 5.152 5.672 5.532 5.815 5.276 5.797 5.666 5.897 ns
8mA GCLK
tco 1.296 1.478 1.930 2.031 2.241 2.261 2.266 2.137 2.349 2.368 2.260 ns
PLL
GCLK tco 3.312 3.573 5.154 5.617 6.203 6.073 6.353 5.750 6.333 6.205 6.440 ns
2mA GCLK
tco 1.517 1.717 2.340 2.496 2.782 2.802 2.807 2.611 2.896 2.915 2.807 ns
1.2 V PLL
GCLK tco 3.132 3.384 4.861 5.294 5.828 5.698 5.978 5.417 5.955 5.827 6.062 ns
4mA GCLK
tco 1.337 1.528 2.047 2.173 2.407 2.427 2.432 2.278 2.518 2.537 2.429 ns
PLL
GCLK tco 3.057 3.295 4.657 5.045 5.571 5.411 5.718 5.165 5.692 5.561 5.795 ns
8mA GCLK
SSTL-2 tco 1.261 1.464 1.868 1.951 2.126 2.146 2.147 2.033 2.230 2.250 2.137 ns
PLL
CLASS I
GCLK tco 3.052 3.291 4.654 5.043 5.569 5.409 5.716 5.164 5.691 5.560 5.794 ns
12mA GCLK
tco 1.249 1.460 1.865 1.949 2.118 2.138 2.139 2.025 2.223 2.243 2.130 ns
PLL
GCLK tco 3.043 3.280 4.639 5.027 5.552 5.392 5.699 5.147 5.673 5.542 5.776 ns
SSTL-2
16mA GCLK
CLASS II tco 1.234 1.449 1.850 1.933 2.097 2.111 2.112 1.999 2.202 2.221 2.103 ns
PLL
GCLK tco 3.069 3.308 4.672 5.065 5.586 5.433 5.729 5.184 5.707 5.576 5.807 ns
4mA GCLK
tco 1.274 1.452 1.858 1.944 2.142 2.162 2.167 2.045 2.246 2.265 2.157 ns
PLL
GCLK tco 3.054 3.294 4.669 5.063 5.585 5.431 5.728 5.182 5.705 5.574 5.805 ns
6mA GCLK
tco 1.259 1.438 1.855 1.942 2.140 2.160 2.165 2.043 2.244 2.263 2.155 ns
PLL
GCLK tco 3.043 3.282 4.652 5.046 5.575 5.414 5.718 5.165 5.696 5.565 5.796 ns
SSTL-18
8mA GCLK
CLASS I tco 1.248 1.426 1.838 1.925 2.123 2.143 2.148 2.026 2.228 2.247 2.139 ns
PLL
GCLK tco 3.030 3.267 4.636 5.030 5.562 5.399 5.705 5.150 5.684 5.553 5.784 ns
10mA GCLK
tco 1.228 1.404 1.822 1.909 2.108 2.128 2.133 2.011 2.213 2.232 2.124 ns
PLL
GCLK tco 3.030 3.266 4.635 5.029 5.562 5.398 5.705 5.149 5.684 5.553 5.784 ns
12mA GCLK
tco 1.228 1.403 1.821 1.908 2.107 2.127 2.132 2.010 2.213 2.232 2.123 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.038 3.273 4.633 5.025 5.557 5.392 5.700 5.144 5.678 5.547 5.778 ns
8mA GCLK
SSTL-18 tco 1.236 1.411 1.819 1.904 2.102 2.121 2.126 2.005 2.207 2.226 2.116 ns
PLL
CLASS II
GCLK tco 3.039 3.276 4.639 5.031 5.567 5.400 5.710 5.150 5.689 5.558 5.789 ns
16mA GCLK
tco 1.237 1.413 1.818 1.905 2.112 2.123 2.128 2.007 2.218 2.237 2.120 ns
PLL
GCLK tco 3.065 3.304 4.683 5.079 5.599 5.450 5.742 5.197 5.719 5.588 5.819 ns
4mA GCLK
tco 1.270 1.448 1.869 1.958 2.159 2.179 2.184 2.058 2.262 2.281 2.173 ns
PLL
SSTL-15 GCLK tco 3.046 3.283 4.665 5.062 5.589 5.433 5.732 5.181 5.710 5.579 5.810 ns
CLASS I 6mA GCLK
tco 1.247 1.426 1.851 1.941 2.142 2.162 2.167 2.042 2.246 2.265 2.157 ns
PLL
GCLK tco 3.035 3.271 4.648 5.044 5.576 5.415 5.719 5.163 5.697 5.566 5.797 ns
8mA GCLK
tco 1.233 1.409 1.834 1.923 2.124 2.144 2.149 2.024 2.229 2.248 2.140 ns
PLL
GCLK tco 3.045 3.280 4.639 5.030 5.555 5.395 5.698 5.148 5.675 5.544 5.775 ns
4mA GCLK
tco 1.249 1.424 1.825 1.909 2.104 2.124 2.129 2.009 2.208 2.227 2.119 ns
PLL
GCLK tco 3.038 3.273 4.630 5.022 5.554 5.387 5.697 5.140 5.675 5.544 5.775 ns
6mA GCLK
tco 1.237 1.412 1.816 1.901 2.099 2.116 2.121 2.001 2.204 2.223 2.112 ns
PLL
1.8-V
GCLK tco 3.029 3.265 4.623 5.013 5.547 5.380 5.690 5.132 5.668 5.537 5.768 ns
HSTL
CLASS I 8mA GCLK
tco 1.227 1.402 1.807 1.892 2.092 2.108 2.113 1.993 2.197 2.216 2.104 ns
PLL
GCLK tco 3.032 3.267 4.626 5.016 5.551 5.384 5.694 5.135 5.671 5.540 5.771 ns
10mA GCLK
tco 1.230 1.404 1.810 1.895 2.096 2.111 2.116 1.996 2.200 2.219 2.107 ns
PLL
GCLK tco 3.028 3.264 4.628 5.019 5.554 5.387 5.697 5.138 5.676 5.545 5.776 ns
12mA GCLK
tco 1.226 1.401 1.808 1.894 2.099 2.111 2.116 1.996 2.205 2.224 2.108 ns
PLL
1.8-V GCLK tco 3.036 3.272 4.626 5.016 5.550 5.383 5.693 5.134 5.670 5.539 5.770 ns
HSTL 16mA GCLK
CLASS II tco 1.234 1.409 1.805 1.890 2.095 2.106 2.107 1.991 2.199 2.218 2.097 ns
PLL
GCLK tco 3.051 3.287 4.650 5.043 5.566 5.410 5.709 5.160 5.686 5.555 5.786 ns
4mA GCLK
tco 1.256 1.431 1.836 1.922 2.119 2.139 2.144 2.021 2.222 2.241 2.133 ns
PLL
1.5-V
HSTL
GCLK tco 3.045 3.280 4.646 5.039 5.568 5.406 5.711 5.157 5.689 5.558 5.789 ns
CLASS I 6mA GCLK
tco 1.244 1.421 1.832 1.918 2.115 2.135 2.140 2.018 2.219 2.238 2.130 ns
PLL
GCLK tco 3.041 3.276 4.640 5.033 5.563 5.400 5.706 5.151 5.683 5.552 5.783 ns
8mA GCLK
tco 1.240 1.416 1.826 1.912 2.109 2.129 2.134 2.012 2.213 2.232 2.124 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.053 3.287 4.661 5.057 5.585 5.428 5.728 5.174 5.705 5.574 5.805 ns
4mA GCLK
tco 1.255 1.430 1.847 1.936 2.137 2.157 2.162 2.035 2.239 2.258 2.150 ns
PLL
1.2-V
GCLK tco 3.044 3.279 4.650 5.045 5.576 5.416 5.719 5.163 5.696 5.565 5.796 ns
HSTL
CLASS I 6mA GCLK
tco 1.243 1.418 1.836 1.924 2.125 2.145 2.150 2.024 2.228 2.247 2.139 ns
PLL
GCLK tco 3.043 3.279 4.654 5.050 5.585 5.422 5.728 5.169 5.706 5.575 5.806 ns
8mA GCLK
tco 1.241 1.416 1.840 1.929 2.131 2.151 2.156 2.030 2.235 2.254 2.146 ns
PLL
GCLK tco 3.163 3.401 4.709 5.091 5.611 5.451 5.758 5.213 5.734 5.603 5.837 ns
3.0-V PCI — GCLK
tco 1.354 1.570 1.920 1.997 2.156 2.167 2.156 2.061 2.263 2.282 2.149 ns
PLL
GCLK tco 3.163 3.401 4.709 5.091 5.611 5.451 5.758 5.213 5.734 5.603 5.837 ns
3.0-V
— GCLK
PCI-X tco 1.354 1.570 1.920 1.997 2.156 2.167 2.156 2.061 2.263 2.282 2.149 ns
PLL
Table 1–125 through Table 1–128 list the maximum I/O timing parameters for
EP3SE110 devices for differential I/O standards.
Table 1–125 lists the EP3SE110 column pins input timing parameters for differential
I/O standards.
GCLK th -0.691 -0.701 -1.428 -1.714 -1.834 -1.749 -1.648 -1.756 -1.968 -1.795 -1.697 ns
PLL tsu -0.997 -1.029 -1.181 -1.169 -1.324 -1.269 -1.645 -1.133 -1.542 -1.230 -1.683 ns
th 1.133 1.184 1.415 1.436 1.618 1.551 1.927 1.411 1.858 1.524 1.966 ns
RSDS
tsu 0.960 0.994 1.879 2.224 2.401 2.288 2.194 2.277 2.567 2.345 2.245 ns
GCLK
th -0.691 -0.701 -1.428 -1.714 -1.834 -1.749 -1.648 -1.756 -1.968 -1.795 -1.697 ns
tsu -0.813 -0.852 -1.258 -1.368 -1.483 -1.423 -1.794 -1.368 -1.482 -1.428 -1.837 ns
DIFFERENTIAL GCLK
th 0.942 0.999 1.460 1.595 1.731 1.659 2.031 1.604 1.739 1.674 2.075 ns
1.2-V HSTL
CLASS I GCLK tsu 1.144 1.171 1.802 2.025 2.242 2.134 2.045 2.042 2.260 2.147 2.091 ns
PLL th -0.882 -0.886 -1.383 -1.555 -1.721 -1.641 -1.544 -1.563 -1.729 -1.645 -1.588 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.813 -0.852 -1.258 -1.368 -1.483 -1.423 -1.794 -1.368 -1.482 -1.428 -1.837 ns
DIFFERENTIAL GCLK
th 0.942 0.999 1.460 1.595 1.731 1.659 2.031 1.604 1.739 1.674 2.075 ns
1.2-V HSTL
CLASS II GCLK tsu 1.144 1.171 1.802 2.025 2.242 2.134 2.045 2.042 2.260 2.147 2.091 ns
PLL th -0.882 -0.886 -1.383 -1.555 -1.721 -1.641 -1.544 -1.563 -1.729 -1.645 -1.588 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
GCLK
DIFFERENTIAL th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V HSTL
CLASS I GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
DIFFERENTIAL GCLK
th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V HSTL
CLASS II GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
DIFFERENTIAL GCLK
th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V HSTL
CLASS I GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
GCLK
DIFFERENTIAL th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V HSTL
CLASS II GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
DIFFERENTIAL GCLK
th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V SSTL
CLASS I GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.821 -0.864 -1.268 -1.379 -1.499 -1.439 -1.810 -1.379 -1.497 -1.443 -1.852 ns
GCLK
DIFFERENTIAL th 0.950 1.011 1.470 1.606 1.747 1.675 2.047 1.615 1.754 1.689 2.090 ns
1.5-V SSTL
CLASS II GCLK tsu 1.136 1.159 1.792 2.014 2.226 2.118 2.029 2.031 2.245 2.132 2.076 ns
PLL th -0.874 -0.874 -1.373 -1.544 -1.705 -1.625 -1.528 -1.552 -1.714 -1.630 -1.573 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
DIFFERENTIAL GCLK
th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V SSTL
CLASS I GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
tsu -0.833 -0.875 -1.277 -1.390 -1.518 -1.458 -1.829 -1.390 -1.515 -1.461 -1.870 ns
DIFFERENTIAL GCLK
th 0.962 1.022 1.480 1.617 1.766 1.694 2.066 1.626 1.772 1.707 2.108 ns
1.8-V SSTL
CLASS II GCLK tsu 1.124 1.148 1.783 2.003 2.207 2.099 2.010 2.020 2.227 2.114 2.058 ns
PLL th -0.862 -0.863 -1.363 -1.533 -1.686 -1.606 -1.509 -1.541 -1.696 -1.612 -1.555 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.840 -0.881 -1.289 -1.395 -1.518 -1.460 -1.828 -1.394 -1.510 -1.459 -1.866 ns
DIFFERENTIAL GCLK
th 0.969 1.028 1.493 1.625 1.769 1.697 2.070 1.633 1.772 1.706 2.109 ns
2.5-V SSTL
CLASS I GCLK tsu 1.117 1.142 1.771 1.998 2.207 2.097 2.011 2.016 2.232 2.116 2.062 ns
PLL th -0.855 -0.857 -1.350 -1.525 -1.683 -1.603 -1.505 -1.534 -1.696 -1.613 -1.554 ns
tsu -0.840 -0.881 -1.289 -1.395 -1.518 -1.460 -1.828 -1.394 -1.510 -1.459 -1.866 ns
GCLK
DIFFERENTIAL th 0.969 1.028 1.493 1.625 1.769 1.697 2.070 1.633 1.772 1.706 2.109 ns
2.5-V SSTL
CLASS II GCLK tsu 1.117 1.142 1.771 1.998 2.207 2.097 2.011 2.016 2.232 2.116 2.062 ns
PLL th -0.855 -0.857 -1.350 -1.525 -1.683 -1.603 -1.505 -1.534 -1.696 -1.613 -1.554 ns
Table 1–126 lists the EP3SE110 row pins input timing parameters for differential I/O
standards
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
GCLK
DIFFERENTIAL th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V
HSTL CLASS I GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
GCLK
DIFFERENTIAL th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V
HSTL CLASS II GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
GCLK
DIFFERENTIAL th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V
HSTL CLASS I GCLK tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
GCLK tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
DIFFERENTIAL th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V GCLK
HSTL CLASS II tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL
th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
GCLK
DIFFERENTIAL th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V
SSTL CLASS I GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.758 -0.806 -1.154 -1.254 -1.359 -1.307 -1.649 -1.255 -1.360 -1.309 -1.693 ns
GCLK
DIFFERENTIAL th 0.884 0.948 1.354 1.478 1.603 1.540 1.883 1.488 1.613 1.551 1.928 ns
1.5-V
SSTL CLASS II GCLK tsu 1.147 1.162 1.855 2.094 2.328 2.208 2.147 2.111 2.346 2.224 2.194 ns
PLL th -0.884 -0.882 -1.438 -1.629 -1.812 -1.720 -1.650 -1.635 -1.818 -1.725 -1.693 ns
tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
GCLK
DIFFERENTIAL th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V
SSTL CLASS I GCLK tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
tsu -0.772 -0.818 -1.163 -1.264 -1.377 -1.325 -1.667 -1.266 -1.377 -1.326 -1.710 ns
GCLK
DIFFERENTIAL th 0.898 0.960 1.364 1.488 1.621 1.558 1.901 1.499 1.630 1.568 1.945 ns
1.8-V
SSTL CLASS II GCLK tsu 1.133 1.150 1.842 2.084 2.310 2.190 2.129 2.100 2.329 2.207 2.177 ns
PLL th -0.870 -0.870 -1.426 -1.619 -1.794 -1.702 -1.632 -1.624 -1.801 -1.708 -1.676 ns
tsu -0.781 -0.827 -1.178 -1.275 -1.384 -1.333 -1.673 -1.273 -1.378 -1.329 -1.712 ns
GCLK
DIFFERENTIAL th 0.907 0.969 1.379 1.502 1.631 1.568 1.912 1.509 1.636 1.573 1.952 ns
2.5-V
SSTL CLASS I GCLK tsu 1.124 1.141 1.827 2.068 2.299 2.178 2.118 2.089 2.323 2.199 2.170 ns
PLL th -0.861 -0.861 -1.411 -1.601 -1.780 -1.688 -1.617 -1.610 -1.792 -1.699 -1.665 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -0.781 -0.827 -1.178 -1.275 -1.384 -1.333 -1.673 -1.273 -1.378 -1.329 -1.712 ns
GCLK
DIFFERENTIAL th 0.907 0.969 1.379 1.502 1.631 1.568 1.912 1.509 1.636 1.573 1.952 ns
2.5-V
SSTL CLASS II GCLK tsu 1.124 1.141 1.827 2.068 2.299 2.178 2.118 2.089 2.323 2.199 2.170 ns
PLL th -0.861 -0.861 -1.411 -1.601 -1.780 -1.688 -1.617 -1.610 -1.792 -1.699 -1.665 ns
Table 1–127 lists the EP3SE110 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1V 1.1V 1.1V 1.1V 0.9V 1.1V 1.1V 1.1V 0.9V
GCLK tco 3.179 3.420 4.828 5.233 5.750 5.610 5.910 5.358 5.875 5.736 5.985 ns
4mA GCLK
tco 1.355 1.535 1.984 2.084 2.298 2.309 2.335 2.190 2.407 2.417 2.323 ns
PLL
GCLK tco 3.169 3.410 4.818 5.222 5.740 5.600 5.900 5.347 5.865 5.726 5.975 ns
6mA GCLK
tco 1.345 1.525 1.974 2.073 2.288 2.299 2.325 2.179 2.397 2.407 2.313 ns
PLL
DIFFERENTIAL
GCLK tco 3.169 3.410 4.821 5.226 5.744 5.604 5.904 5.352 5.870 5.731 5.980 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 1.345 1.525 1.977 2.077 2.292 2.303 2.329 2.184 2.402 2.412 2.318 ns
PLL
GCLK tco 3.162 3.404 4.814 5.220 5.738 5.598 5.898 5.345 5.864 5.725 5.974 ns
10mA GCLK
tco 1.338 1.519 1.970 2.071 2.286 2.297 2.323 2.177 2.396 2.406 2.312 ns
PLL
GCLK tco 3.161 3.402 4.811 5.217 5.735 5.595 5.895 5.342 5.860 5.721 5.970 ns
12mA GCLK
tco 1.337 1.517 1.967 2.068 2.283 2.294 2.320 2.174 2.392 2.402 2.308 ns
PLL
DIFFERENTIAL GCLK tco 3.183 3.424 4.832 5.237 5.754 5.614 5.914 5.362 5.880 5.741 5.990 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 1.359 1.539 1.988 2.088 2.302 2.313 2.339 2.194 2.412 2.422 2.328 ns
PLL
GCLK tco 3.173 3.413 4.811 5.214 5.729 5.589 5.889 5.338 5.853 5.714 5.963 ns
4mA GCLK
tco 1.349 1.528 1.967 2.065 2.277 2.288 2.314 2.170 2.385 2.395 2.301 ns
PLL
GCLK tco 3.168 3.409 4.811 5.214 5.730 5.590 5.890 5.339 5.855 5.716 5.965 ns
6mA GCLK
tco 1.344 1.524 1.967 2.065 2.278 2.289 2.315 2.171 2.387 2.397 2.303 ns
PLL
DIFFERENTIAL
GCLK tco 3.166 3.407 4.810 5.213 5.728 5.588 5.888 5.338 5.854 5.715 5.964 ns
1.5-V HSTL
CLASS I 8mA GCLK
tco 1.342 1.522 1.966 2.064 2.276 2.287 2.313 2.170 2.386 2.396 2.302 ns
PLL
GCLK tco 3.158 3.398 4.800 5.203 5.719 5.579 5.879 5.328 5.844 5.705 5.954 ns
10mA GCLK
tco 1.334 1.513 1.956 2.054 2.267 2.278 2.304 2.160 2.376 2.386 2.292 ns
PLL
GCLK tco 3.159 3.400 4.806 5.210 5.727 5.587 5.887 5.336 5.853 5.714 5.963 ns
12mA GCLK
tco 1.335 1.515 1.962 2.061 2.275 2.286 2.312 2.168 2.385 2.395 2.301 ns
PLL
DIFFERENTIAL GCLK tco 3.158 3.397 4.789 5.191 5.705 5.565 5.865 5.315 5.829 5.690 5.939 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 1.334 1.512 1.945 2.042 2.253 2.264 2.290 2.147 2.361 2.371 2.277 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1V 1.1V 1.1V 1.1V 0.9V 1.1V 1.1V 1.1V 0.9V
GCLK tco 3.170 3.410 4.807 5.209 5.723 5.583 5.883 5.334 5.848 5.709 5.958 ns
4mA GCLK
tco 1.346 1.525 1.963 2.060 2.271 2.282 2.308 2.166 2.380 2.390 2.296 ns
PLL
GCLK tco 3.166 3.407 4.808 5.211 5.727 5.587 5.887 5.336 5.852 5.713 5.962 ns
6mA GCLK
tco 1.342 1.522 1.964 2.062 2.275 2.286 2.312 2.168 2.384 2.394 2.300 ns
PLL
DIFFERENTIAL
GCLK tco 3.156 3.396 4.797 5.200 5.715 5.575 5.875 5.325 5.840 5.701 5.950 ns
1.8-V HSTL
CLASS I 8mA GCLK
tco 1.332 1.511 1.953 2.051 2.263 2.274 2.300 2.157 2.372 2.382 2.288 ns
PLL
GCLK tco 3.154 3.394 4.795 5.197 5.713 5.573 5.873 5.323 5.838 5.699 5.948 ns
10mA GCLK
tco 1.330 1.509 1.951 2.048 2.261 2.272 2.298 2.155 2.370 2.380 2.286 ns
PLL
GCLK tco 3.154 3.395 4.798 5.202 5.718 5.578 5.878 5.327 5.844 5.705 5.954 ns
12mA GCLK
tco 1.330 1.510 1.954 2.053 2.266 2.277 2.303 2.159 2.376 2.386 2.292 ns
PLL
DIFFERENTIAL GCLK tco 3.158 3.398 4.795 5.197 5.712 5.572 5.872 5.322 5.837 5.698 5.947 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 1.334 1.513 1.951 2.048 2.260 2.271 2.297 2.154 2.369 2.379 2.285 ns
PLL
GCLK tco 3.184 3.427 4.840 5.245 5.762 5.622 5.922 5.370 5.887 5.748 5.997 ns
4mA GCLK
tco 1.360 1.542 1.996 2.096 2.310 2.321 2.347 2.202 2.419 2.429 2.335 ns
PLL
GCLK tco 3.170 3.413 4.828 5.234 5.752 5.612 5.912 5.360 5.878 5.739 5.988 ns
6mA GCLK
tco 1.346 1.528 1.984 2.085 2.300 2.311 2.337 2.192 2.410 2.420 2.326 ns
PLL
DIFFERENTIAL
GCLK tco 3.158 3.400 4.811 5.216 5.734 5.594 5.894 5.342 5.860 5.721 5.970 ns
1.5-V SSTL
CLASS I 8mA GCLK
tco 1.334 1.515 1.967 2.067 2.282 2.293 2.319 2.174 2.392 2.402 2.308 ns
PLL
GCLK tco 3.158 3.400 4.814 5.220 5.738 5.598 5.898 5.346 5.865 5.726 5.975 ns
10mA GCLK
tco 1.334 1.515 1.970 2.071 2.286 2.297 2.323 2.178 2.397 2.407 2.313 ns
PLL
GCLK tco 3.154 3.396 4.807 5.212 5.731 5.591 5.891 5.339 5.857 5.718 5.967 ns
12mA GCLK
tco 1.330 1.511 1.963 2.063 2.279 2.290 2.316 2.171 2.389 2.399 2.305 ns
PLL
GCLK tco 3.158 3.398 4.800 5.203 5.719 5.579 5.879 5.328 5.844 5.705 5.954 ns
DIFFERENTIAL 8mA GCLK
tco 1.334 1.513 1.956 2.054 2.267 2.278 2.304 2.160 2.376 2.386 2.292 ns
1.5-V SSTL PLL
CLASS II GCLK tco 3.159 3.400 4.808 5.213 5.730 5.590 5.890 5.338 5.856 5.717 5.966 ns
16mA GCLK
tco 1.335 1.515 1.964 2.064 2.278 2.289 2.315 2.170 2.388 2.398 2.304 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1V 1.1V 1.1V 1.1V 0.9V 1.1V 1.1V 1.1V 0.9V
GCLK tco 3.187 3.430 4.839 5.243 5.760 5.620 5.920 5.369 5.885 5.746 5.995 ns
4mA GCLK
tco 1.363 1.545 1.995 2.094 2.308 2.319 2.345 2.201 2.417 2.427 2.333 ns
PLL
GCLK tco 3.176 3.418 4.827 5.231 5.748 5.608 5.908 5.357 5.873 5.734 5.983 ns
6mA GCLK
tco 1.352 1.533 1.983 2.082 2.296 2.307 2.333 2.189 2.405 2.415 2.321 ns
PLL
DIFFERENTIAL
GCLK tco 3.171 3.414 4.827 5.232 5.749 5.609 5.909 5.358 5.875 5.736 5.985 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 1.347 1.529 1.983 2.083 2.297 2.308 2.334 2.190 2.407 2.417 2.323 ns
PLL
GCLK tco 3.157 3.399 4.809 5.213 5.730 5.590 5.890 5.339 5.857 5.718 5.967 ns
10mA GCLK
tco 1.333 1.514 1.965 2.064 2.278 2.289 2.315 2.171 2.389 2.399 2.305 ns
PLL
GCLK tco 3.155 3.397 4.807 5.211 5.728 5.588 5.888 5.337 5.854 5.715 5.964 ns
12mA GCLK
tco 1.331 1.512 1.963 2.062 2.276 2.287 2.313 2.169 2.386 2.396 2.302 ns
PLL
GCLK tco 3.159 3.399 4.799 5.201 5.716 5.576 5.876 5.326 5.841 5.702 5.951 ns
DIFFERENTIAL 8mA GCLK
tco 1.335 1.514 1.955 2.052 2.264 2.275 2.301 2.158 2.373 2.383 2.289 ns
1.8-V SSTL PLL
CLASS II GCLK tco 3.159 3.400 4.807 5.211 5.728 5.588 5.888 5.337 5.854 5.715 5.964 ns
16mA GCLK
tco 1.335 1.515 1.963 2.062 2.276 2.287 2.313 2.169 2.386 2.396 2.302 ns
PLL
GCLK tco 3.175 3.417 4.823 5.226 5.742 5.602 5.902 5.352 5.867 5.728 5.977 ns
8mA GCLK
tco 1.351 1.532 1.979 2.077 2.290 2.301 2.327 2.184 2.399 2.409 2.315 ns
PLL
DIFFERENTIAL
GCLK tco 3.175 3.417 4.823 5.226 5.742 5.602 5.902 5.352 5.867 5.728 5.977 ns
2.5-V SSTL
CLASS I 10mA GCLK
tco 1.351 1.532 1.979 2.077 2.290 2.301 2.327 2.184 2.399 2.409 2.315 ns
PLL
GCLK tco 3.165 3.407 4.813 5.216 5.732 5.592 5.892 5.342 5.858 5.719 5.968 ns
12mA GCLK
tco 1.341 1.522 1.969 2.067 2.280 2.291 2.317 2.174 2.390 2.400 2.306 ns
PLL
DIFFERENTIAL GCLK tco 3.158 3.399 4.799 5.201 5.716 5.576 5.876 5.326 5.841 5.702 5.951 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 1.334 1.514 1.955 2.052 2.264 2.275 2.301 2.158 2.373 2.383 2.289 ns
PLL
Table 1–128 lists the EP3SE110 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 2.744 2.932 4.083 4.440 4.901 4.771 5.049 4.540 5.002 4.873 5.107 ns
LVDS — GCLK
tco 0.931 1.057 1.249 1.300 1.458 1.480 1.486 1.382 1.545 1.563 1.456 ns
PLL
GCLK tco 3.136 3.376 4.754 5.156 5.664 5.526 5.797 5.281 5.790 5.652 5.871 ns
LVDS_E_1R — GCLK
tco 1.333 1.511 1.930 2.026 2.231 2.245 2.243 2.133 2.343 2.352 2.229 ns
PLL
GCLK tco 3.118 3.366 4.792 5.202 5.718 5.580 5.851 5.332 5.851 5.713 5.932 ns
LVDS_E_3R — GCLK
tco 1.315 1.501 1.968 2.072 2.285 2.299 2.297 2.184 2.404 2.413 2.290 ns
PLL
GCLK tco 2.744 2.932 4.083 4.440 4.901 4.771 5.049 4.540 5.002 4.873 5.107 ns
MINI-LVDS — GCLK
tco 0.931 1.057 1.249 1.300 1.458 1.480 1.486 1.382 1.545 1.563 1.456 ns
PLL
GCLK tco 3.136 3.376 4.754 5.156 5.664 5.526 5.797 5.281 5.790 5.652 5.871 ns
MINI-
— GCLK
LVDS_E_1R tco 1.333 1.511 1.930 2.026 2.231 2.245 2.243 2.133 2.343 2.352 2.229 ns
PLL
GCLK tco 3.118 3.366 4.792 5.202 5.718 5.580 5.851 5.332 5.851 5.713 5.932 ns
MINI-
— GCLK
LVDS_E_3R tco 1.315 1.501 1.968 2.072 2.285 2.299 2.297 2.184 2.404 2.413 2.290 ns
PLL
GCLK tco 2.744 2.932 4.083 4.440 4.901 4.771 5.049 4.540 5.002 4.873 5.107 ns
RSDS — GCLK
tco 0.931 1.057 1.249 1.300 1.458 1.480 1.486 1.382 1.545 1.563 1.456 ns
PLL
GCLK tco 3.136 3.376 4.754 5.156 5.664 5.526 5.797 5.281 5.790 5.652 5.871 ns
RSDS_E_1R — GCLK
tco 1.333 1.511 1.930 2.026 2.231 2.245 2.243 2.133 2.343 2.352 2.229 ns
PLL
GCLK tco 3.118 3.366 4.792 5.202 5.718 5.580 5.851 5.332 5.851 5.713 5.932 ns
RSDS_E_3R — GCLK
tco 1.315 1.501 1.968 2.072 2.285 2.299 2.297 2.184 2.404 2.413 2.290 ns
PLL
GCLK tco 3.162 3.409 4.828 5.236 5.751 5.613 5.884 5.365 5.880 5.742 5.961 ns
4mA GCLK
tco 1.359 1.544 2.004 2.106 2.318 2.332 2.330 2.217 2.433 2.442 2.319 ns
PLL
DIFFERENTIAL GCLK tco 3.148 3.395 4.815 5.223 5.738 5.600 5.871 5.351 5.867 5.729 5.948 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 1.345 1.530 1.991 2.093 2.305 2.319 2.317 2.203 2.420 2.429 2.306 ns
PLL
GCLK tco 3.144 3.391 4.813 5.223 5.739 5.601 5.872 5.351 5.869 5.731 5.950 ns
8mA GCLK
tco 1.341 1.526 1.989 2.093 2.306 2.320 2.318 2.203 2.422 2.431 2.308 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.160 3.406 4.814 5.220 5.733 5.595 5.866 5.348 5.862 5.724 5.943 ns
4mA GCLK
tco 1.357 1.541 1.990 2.090 2.300 2.314 2.312 2.200 2.415 2.424 2.301 ns
PLL
DIFFERENTIAL GCLK tco 3.149 3.396 4.810 5.216 5.730 5.592 5.863 5.345 5.859 5.721 5.940 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 1.346 1.531 1.986 2.086 2.297 2.311 2.309 2.197 2.412 2.421 2.298 ns
PLL
GCLK tco 3.146 3.393 4.808 5.214 5.728 5.590 5.861 5.343 5.858 5.720 5.939 ns
8mA GCLK
tco 1.343 1.528 1.984 2.084 2.295 2.309 2.307 2.195 2.411 2.420 2.297 ns
PLL
GCLK tco 3.157 3.403 4.809 5.215 5.727 5.589 5.860 5.343 5.856 5.718 5.937 ns
4mA GCLK
tco 1.354 1.538 1.985 2.085 2.294 2.308 2.306 2.195 2.409 2.418 2.295 ns
PLL
GCLK tco 3.147 3.394 4.807 5.213 5.726 5.588 5.859 5.342 5.856 5.718 5.937 ns
6mA GCLK
tco 1.344 1.529 1.983 2.083 2.293 2.307 2.305 2.194 2.409 2.418 2.295 ns
PLL
DIFFERENTIAL GCLK tco 3.133 3.380 4.792 5.198 5.712 5.574 5.845 5.327 5.841 5.703 5.922 ns
1.8-V 8mA GCLK
HSTL CLASS I tco 1.330 1.515 1.968 2.068 2.279 2.293 2.291 2.179 2.394 2.403 2.280 ns
PLL
GCLK tco 3.130 3.376 4.788 5.194 5.708 5.570 5.841 5.323 5.837 5.699 5.918 ns
10mA GCLK
tco 1.327 1.511 1.964 2.064 2.275 2.289 2.287 2.175 2.390 2.399 2.276 ns
PLL
GCLK tco 3.127 3.374 4.789 5.197 5.711 5.573 5.844 5.326 5.841 5.703 5.922 ns
12mA GCLK
tco 1.324 1.509 1.965 2.067 2.278 2.292 2.290 2.178 2.394 2.403 2.280 ns
PLL
DIFFERENTIAL GCLK tco 3.128 3.374 4.779 5.185 5.698 5.560 5.831 5.313 5.827 5.689 5.908 ns
1.8-V 16mA GCLK
HSTL CLASS II tco 1.325 1.509 1.955 2.055 2.265 2.279 2.277 2.165 2.380 2.389 2.266 ns
PLL
GCLK tco 3.177 3.427 4.850 5.258 5.774 5.636 5.907 5.387 5.903 5.765 5.984 ns
4mA GCLK
tco 1.374 1.562 2.026 2.128 2.341 2.355 2.353 2.239 2.456 2.465 2.342 ns
PLL
DIFFERENTIAL GCLK tco 3.153 3.403 4.832 5.241 5.757 5.619 5.890 5.370 5.888 5.750 5.969 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 1.350 1.538 2.008 2.111 2.324 2.338 2.336 2.222 2.441 2.450 2.327 ns
PLL
GCLK tco 3.136 3.384 4.810 5.219 5.735 5.597 5.868 5.348 5.866 5.728 5.947 ns
8mA GCLK
tco 1.333 1.519 1.986 2.089 2.302 2.316 2.314 2.200 2.419 2.428 2.305 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.181 3.430 4.850 5.258 5.773 5.635 5.906 5.387 5.903 5.765 5.984 ns
4mA GCLK
tco 1.378 1.565 2.026 2.128 2.340 2.354 2.352 2.239 2.456 2.465 2.342 ns
PLL
GCLK tco 3.166 3.415 4.836 5.243 5.758 5.620 5.891 5.372 5.888 5.750 5.969 ns
6mA GCLK
tco 1.363 1.550 2.012 2.113 2.325 2.339 2.337 2.224 2.441 2.450 2.327 ns
PLL
DIFFERENTIAL GCLK tco 3.155 3.404 4.831 5.240 5.755 5.617 5.888 5.369 5.886 5.748 5.967 ns
1.8-V 8mA GCLK
SSTL CLASS I tco 1.352 1.539 2.007 2.110 2.322 2.336 2.334 2.221 2.439 2.448 2.325 ns
PLL
GCLK tco 3.135 3.384 4.808 5.216 5.732 5.594 5.865 5.346 5.862 5.724 5.943 ns
10mA GCLK
tco 1.332 1.519 1.984 2.086 2.299 2.313 2.311 2.198 2.415 2.424 2.301 ns
PLL
GCLK tco 3.132 3.380 4.804 5.213 5.728 5.590 5.861 5.342 5.859 5.721 5.940 ns
12mA GCLK
tco 1.329 1.515 1.980 2.083 2.295 2.309 2.307 2.194 2.412 2.421 2.298 ns
PLL
GCLK tco 3.137 3.384 4.795 5.201 5.714 5.576 5.847 5.329 5.843 5.705 5.924 ns
8mA GCLK
DIFFERENTIAL tco 1.334 1.519 1.971 2.071 2.281 2.295 2.293 2.181 2.396 2.405 2.282 ns
PLL
1.8-V SSTL
CLASS II GCLK tco 3.130 3.377 4.794 5.202 5.717 5.579 5.850 5.332 5.848 5.710 5.929 ns
16mA GCLK
tco 1.327 1.512 1.970 2.072 2.284 2.298 2.296 2.184 2.401 2.410 2.287 ns
PLL
GCLK tco 3.168 3.416 4.832 5.239 5.753 5.615 5.886 5.368 5.883 5.745 5.964 ns
8mA GCLK
DIFFERENTIAL tco 1.365 1.551 2.008 2.109 2.320 2.334 2.332 2.220 2.436 2.445 2.322 ns
PLL
2.5-V SSTL
CLASS I GCLK tco 3.150 3.399 4.817 5.224 5.738 5.600 5.871 5.353 5.868 5.730 5.949 ns
12mA GCLK
tco 1.347 1.534 1.993 2.094 2.305 2.319 2.317 2.205 2.421 2.430 2.307 ns
PLL
DIFFERENTIAL GCLK tco 3.136 3.383 4.794 5.200 5.713 5.575 5.846 5.329 5.843 5.705 5.924 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 1.333 1.518 1.970 2.070 2.280 2.294 2.292 2.181 2.396 2.405 2.282 ns
PLL
Table 1–129 and Table 1–130 list the EP3SE110 regional clock (RCLK) adder values
that must be added to the GCLK values. Use these adder values to determine I/O
timing when the I/O pin is driven using the regional clock. This applies to all I/O
standards supported by Stratix III devices.
Table 1–129 lists the EP3SE110 column pin delay adders when using the regional
clock.
Table 1–129. EP3SE110 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL = VCCL =
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.111 0.14 0.19 0.103 0.105 0.103 0.177 0.085 0.101 0.098 0.146 ns
RCLK PLL input adder 2.506 2.513 3.782 4.081 4.579 4.381 4.923 4.222 4.603 4.401 4.984 ns
RCLK output adder -0.281 -0.062 -0.079 -0.074 -0.074 -0.072 -0.128 0.056 0.051 0.054 -0.055 ns
RCLK PLL output adder -2.121 -1.833 -2.75 -2.908 -3.127 -3.057 -3.165 -2.959 -3.157 -2.903 -3.172 ns
Table 1–130 lists the EP3SE110 row pin delay adders when using the regional clock.
Table 1–130. EP3SE110 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL= VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder -0.003 -0.002 0.001 -0.012 -0.01 -0.017 0.078 -0.02 -0.02 -0.016 0.08 ns
RCLK PLL input adder 0.116 0.122 0.192 0.212 0.227 0.217 0.375 0.198 0.219 0.209 0.379 ns
RCLK output adder 0.02 0.019 0.027 0.041 0.044 0.048 -0.038 0.051 0.057 0.051 -0.04 ns
RCLK PLL output adder -0.103 -0.105 -0.162 -0.179 -0.194 -0.185 -0.322 -0.167 -0.183 -0.175 -0.324 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.179 -1.123 -1.742 -1.818 -1.976 -1.842 -2.274 -1.818 -1.976 -1.842 -2.274 ns
GCLK
SSTL-2 th 1.327 1.271 1.965 2.049 2.229 2.077 2.516 2.049 2.229 2.077 2.516 ns
CLASS I tsu -1.352 -1.402 -2.158 -2.092 -2.350 -2.269 -2.830 -2.092 -2.350 -2.269 -2.830 ns
GCLK
PLL th 1.669 1.717 2.647 2.600 2.905 2.792 3.376 2.600 2.905 2.792 3.376 ns
tsu -1.179 -1.123 -1.742 -1.818 -1.976 -1.842 -2.274 -1.818 -1.976 -1.842 -2.274 ns
GCLK
SSTL-2 th 1.327 1.271 1.965 2.049 2.229 2.077 2.516 2.049 2.229 2.077 2.516 ns
CLASS II tsu -1.352 -1.402 -2.158 -2.092 -2.350 -2.269 -2.830 -2.092 -2.350 -2.269 -2.830 ns
GCLK
PLL th 1.669 1.717 2.647 2.600 2.905 2.792 3.376 2.600 2.905 2.792 3.376 ns
tsu -1.173 -1.117 -1.729 -1.810 -1.973 -1.837 -2.272 -1.810 -1.973 -1.837 -2.272 ns
GCLK
SSTL-18 th 1.321 1.265 1.952 2.038 2.223 2.071 2.509 2.038 2.223 2.071 2.509 ns
CLASS I tsu -1.346 -1.396 -2.145 -2.087 -2.347 -2.264 -2.828 -2.087 -2.347 -2.264 -2.828 ns
GCLK
PLL th 1.663 1.711 2.634 2.592 2.899 2.786 3.369 2.592 2.899 2.786 3.369 ns
tsu -1.173 -1.117 -1.729 -1.810 -1.973 -1.837 -2.272 -1.810 -1.973 -1.837 -2.272 ns
GCLK
SSTL-18 th 1.321 1.265 1.952 2.038 2.223 2.071 2.509 2.038 2.223 2.071 2.509 ns
CLASS II tsu -1.346 -1.396 -2.145 -2.087 -2.347 -2.264 -2.828 -2.087 -2.347 -2.264 -2.828 ns
GCLK
PLL th 1.663 1.711 2.634 2.592 2.899 2.786 3.369 2.592 2.899 2.786 3.369 ns
tsu -1.162 -1.106 -1.718 -1.799 -1.954 -1.818 -2.253 -1.799 -1.954 -1.818 -2.253 ns
GCLK
SSTL-15 th 1.310 1.254 1.940 2.027 2.204 2.052 2.490 2.027 2.204 2.052 2.490 ns
CLASS I tsu -1.335 -1.385 -2.134 -2.076 -2.328 -2.245 -2.809 -2.076 -2.328 -2.245 -2.809 ns
GCLK
PLL th 1.652 1.700 2.622 2.581 2.880 2.767 3.350 2.581 2.880 2.767 3.350 ns
tsu -1.162 -1.106 -1.718 -1.799 -1.954 -1.818 -2.253 -1.799 -1.954 -1.818 -2.253 ns
GCLK
1.8-V HSTL th 1.310 1.254 1.940 2.027 2.204 2.052 2.490 2.027 2.204 2.052 2.490 ns
CLASS I tsu -1.335 -1.385 -2.134 -2.076 -2.328 -2.245 -2.809 -2.076 -2.328 -2.245 -2.809 ns
GCLK
PLL th 1.652 1.700 2.622 2.581 2.880 2.767 3.350 2.581 2.880 2.767 3.350 ns
tsu -1.173 -1.117 -1.729 -1.810 -1.973 -1.837 -2.272 -1.810 -1.973 -1.837 -2.272 ns
GCLK
1.8-V HSTL th 1.321 1.265 1.952 2.038 2.223 2.071 2.509 2.038 2.223 2.071 2.509 ns
CLASS II tsu -1.346 -1.396 -2.145 -2.087 -2.347 -2.264 -2.828 -2.087 -2.347 -2.264 -2.828 ns
GCLK
PLL th 1.663 1.711 2.634 2.592 2.899 2.786 3.369 2.592 2.899 2.786 3.369 ns
tsu -1.173 -1.117 -1.729 -1.810 -1.973 -1.837 -2.272 -1.810 -1.973 -1.837 -2.272 ns
GCLK
1.5-V HSTL th 1.321 1.265 1.952 2.038 2.223 2.071 2.509 2.038 2.223 2.071 2.509 ns
CLASS I tsu -1.346 -1.396 -2.145 -2.087 -2.347 -2.264 -2.828 -2.087 -2.347 -2.264 -2.828 ns
GCLK
PLL th 1.663 1.711 2.634 2.592 2.899 2.786 3.369 2.592 2.899 2.786 3.369 ns
tsu -1.162 -1.106 -1.718 -1.799 -1.954 -1.818 -2.253 -1.799 -1.954 -1.818 -2.253 ns
GCLK
1.5-V HSTL th 1.310 1.254 1.940 2.027 2.204 2.052 2.490 2.027 2.204 2.052 2.490 ns
CLASS II tsu -1.335 -1.385 -2.134 -2.076 -2.328 -2.245 -2.809 -2.076 -2.328 -2.245 -2.809 ns
GCLK
PLL th 1.652 1.700 2.622 2.581 2.880 2.767 3.350 2.581 2.880 2.767 3.350 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.162 -1.106 -1.718 -1.799 -1.954 -1.818 -2.253 -1.799 -1.954 -1.818 -2.253 ns
GCLK
1.2-V HSTL th 1.310 1.254 1.940 2.027 2.204 2.052 2.490 2.027 2.204 2.052 2.490 ns
CLASS I tsu -1.335 -1.385 -2.134 -2.076 -2.328 -2.245 -2.809 -2.076 -2.328 -2.245 -2.809 ns
GCLK
PLL th 1.652 1.700 2.622 2.581 2.880 2.767 3.350 2.581 2.880 2.767 3.350 ns
tsu -1.150 -1.094 -1.708 -1.788 -1.938 -1.802 -2.237 -1.788 -1.938 -1.802 -2.237 ns
GCLK
1.2-V HSTL th 1.298 1.242 1.930 2.016 2.188 2.036 2.474 2.016 2.188 2.036 2.474 ns
CLASS II tsu -1.323 -1.373 -2.124 -2.065 -2.312 -2.229 -2.793 -2.065 -2.312 -2.229 -2.793 ns
GCLK
PLL th 1.640 1.688 2.612 2.570 2.864 2.751 3.334 2.570 2.864 2.751 3.334 ns
tsu -1.150 -1.094 -1.708 -1.788 -1.938 -1.802 -2.237 -1.788 -1.938 -1.802 -2.237 ns
GCLK
th 1.298 1.242 1.930 2.016 2.188 2.036 2.474 2.016 2.188 2.036 2.474 ns
3.0-V PCI
GCLK tsu -1.323 -1.373 -2.124 -2.065 -2.312 -2.229 -2.793 -2.065 -2.312 -2.229 -2.793 ns
PLL th 1.640 1.688 2.612 2.570 2.864 2.751 3.334 2.570 2.864 2.751 3.334 ns
tsu -1.253 -1.197 -1.821 -1.917 -2.183 -2.049 -2.481 -1.917 -2.183 -2.049 -2.481 ns
GCLK
3.0-V th 1.399 1.343 2.044 2.148 2.436 2.284 2.723 2.148 2.436 2.284 2.723 ns
PCI-X tsu -1.428 -1.476 -2.237 -2.191 -2.557 -2.476 -3.037 -2.191 -2.557 -2.476 -3.037 ns
GCLK
PLL th 1.743 1.789 2.726 2.699 3.112 2.999 3.583 2.699 3.112 2.999 3.583 ns
Table 1–132 lists the EP3SE260 row pins input timing parameters for single-ended I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.225 -1.385 2.299 2.247 2.571 2.449 2.920 2.268 2.674 2.449 2.920 ns
GCLK
3.0-V th 1.361 1.535 -2.065 -2.002 -2.301 -2.195 -2.661 -2.011 -2.397 -2.195 -2.661 ns
LVCMOS tsu 0.977 0.972 1.743 1.850 1.844 1.749 1.866 1.856 1.868 1.749 1.866 ns
GCLK
PLL th -0.698 -0.674 2.299 2.247 2.571 2.449 2.920 2.268 2.674 2.449 2.920 ns
tsu -1.213 -1.378 1.617 1.714 1.860 1.741 1.759 1.830 1.861 1.741 1.759 ns
GCLK
th 1.349 1.528 2.364 2.410 2.627 2.565 3.018 2.344 2.687 2.565 3.018 ns
2.5 V
GCLK tsu 0.989 0.979 -2.130 -2.167 -2.360 -2.314 -2.765 -2.088 -2.409 -2.314 -2.765 ns
PLL th -0.710 -0.681 1.617 1.714 1.860 1.741 1.759 1.830 1.861 1.741 1.759 ns
tsu -1.283 -1.435 1.641 1.746 1.928 1.809 1.827 1.861 1.926 1.809 1.827 ns
GCLK
th 0.968 0.896 2.340 2.378 2.559 2.497 2.950 2.313 2.622 2.497 2.950 ns
1.8 V
GCLK tsu 1.421 1.586 -2.106 -2.135 -2.292 -2.246 -2.697 -2.057 -2.344 -2.246 -2.697 ns
PLL th -1.273 -1.424 1.720 1.847 2.087 1.968 1.986 1.957 2.081 1.968 1.986 ns
tsu 0.978 0.907 2.261 2.277 2.400 2.338 2.791 2.217 2.467 2.338 2.791 ns
GCLK
th 1.411 1.575 -2.027 -2.034 -2.133 -2.087 -2.538 -1.961 -2.189 -2.087 -2.538 ns
1.5 V
GCLK tsu -1.213 -1.371 1.829 1.959 2.064 1.969 2.086 1.968 2.085 1.969 2.086 ns
PLL th 1.038 0.960 2.213 2.138 2.351 2.229 2.700 2.156 2.457 2.229 2.700 ns
tsu 1.351 1.522 -1.989 -2.007 -2.128 -2.081 -2.534 -1.932 -2.182 -2.081 -2.534 ns
GCLK
th -1.155 -1.320 1.758 -2.007 -2.128 -2.081 -2.534 -1.932 -2.182 -2.081 -2.534 ns
1.2 V
GCLK tsu 1.047 1.038 2.223 2.248 2.393 2.331 2.783 2.185 2.457 2.331 2.783 ns
PLL th 1.292 1.471 -1.989 1.874 2.092 1.974 1.990 1.986 2.088 1.974 1.990 ns
tsu -1.155 -1.320 1.758 1.874 2.092 1.974 1.990 1.986 2.088 1.974 1.990 ns
GCLK
SSTL-2 th 1.047 1.038 2.223 -1.378 -1.541 -1.455 -1.458 -1.477 -1.526 -1.455 -1.458 ns
CLASS I tsu 1.292 1.471 -1.989 -2.007 -2.128 -2.081 -2.534 -1.932 -2.182 -2.081 -2.534 ns
GCLK
PLL th -1.187 -1.336 1.758 2.248 2.393 2.331 2.783 2.185 2.457 2.331 2.783 ns
tsu 1.064 0.995 2.223 1.874 2.092 1.974 1.990 1.986 2.088 1.974 1.990 ns
GCLK
SSTL-2 th 1.325 1.487 -1.989 -1.378 -1.541 -1.455 -1.458 -1.477 -1.526 -1.455 -1.458 ns
CLASS II tsu -1.187 -1.336 -1.294 -1.997 -2.110 -2.063 -2.516 -1.921 -2.165 -2.063 -2.516 ns
GCLK
PLL th 1.064 0.995 -1.974 2.238 2.375 2.313 2.765 2.174 2.440 2.313 2.765 ns
tsu 1.325 1.487 2.209 1.884 2.110 1.992 2.008 1.997 2.105 1.992 2.008 ns
GCLK
SSTL-18 th -1.173 -1.324 1.758 1.884 2.110 1.992 2.008 1.997 2.105 1.992 2.008 ns
CLASS I tsu 1.078 1.007 2.223 -1.388 -1.559 -1.473 -1.476 -1.488 -1.543 -1.473 -1.476 ns
GCLK
PLL th 1.311 1.475 -1.989 -1.997 -2.110 -2.063 -2.516 -1.921 -2.165 -2.063 -2.516 ns
tsu -1.187 -1.336 1.758 -1.378 -1.541 -1.455 -1.458 -1.477 -1.526 -1.455 -1.458 ns
GCLK
SSTL-18 th 1.064 0.995 2.223 -2.007 -2.128 -2.081 -2.534 -1.932 -2.182 -2.081 -2.534 ns
CLASS II tsu 1.325 1.487 -1.989 2.248 2.393 2.331 2.783 2.185 2.457 2.331 2.783 ns
GCLK
PLL th -1.187 -1.336 -1.294 1.874 2.092 1.974 1.990 1.986 2.088 1.974 1.990 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O
Clock Units
Standard VCCL= VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu 1.064 0.995 -1.974 -1.378 -1.541 -1.455 -1.458 -1.477 -1.526 -1.455 -1.458 ns
GCLK
SSTL-15 th 1.325 1.487 2.209 -2.007 -2.128 -2.081 -2.534 -1.932 -2.182 -2.081 -2.534 ns
CLASS I tsu -1.173 -1.324 1.773 2.248 2.393 2.331 2.783 2.185 2.457 2.331 2.783 ns
GCLK
PLL th 1.078 1.007 -1.294 1.874 2.092 1.974 1.990 1.986 2.088 1.974 1.990 ns
tsu 1.311 1.475 -1.974 -1.378 -1.541 -1.455 -1.458 -1.477 -1.526 -1.455 -1.458 ns
GCLK
1.8-V HSTL th -1.173 -1.324 -1.303 1.884 2.110 1.992 2.008 1.997 2.105 1.992 2.008 ns
CLASS I tsu 1.078 1.007 -1.965 -1.388 -1.559 -1.473 -1.476 -1.488 -1.543 -1.473 -1.476 ns
GCLK
PLL th 1.311 1.475 2.200 -1.997 -2.110 -2.063 -2.516 -1.921 -2.165 -2.063 -2.516 ns
tsu -1.164 -1.312 1.782 2.238 2.375 2.313 2.765 2.174 2.440 2.313 2.765 ns
GCLK
1.8-V HSTL th 1.087 1.019 -1.303 1.884 2.110 1.992 2.008 1.997 2.105 1.992 2.008 ns
CLASS II tsu 1.302 1.463 -1.965 -1.388 -1.559 -1.473 -1.476 -1.488 -1.543 -1.473 -1.476 ns
GCLK
PLL th -1.164 -1.312 -1.303 1.894 2.126 2.008 2.024 2.006 2.121 2.008 2.024 ns
tsu 1.087 1.019 -2.056 -1.398 -1.575 -1.489 -1.492 -1.497 -1.559 -1.489 -1.492 ns
GCLK
1.5-V HSTL th 1.302 1.463 1.752 -1.987 -2.094 -2.047 -2.500 -1.912 -2.149 -2.047 -2.500 ns
CLASS I tsu -1.225 -1.385 2.290 2.228 2.359 2.297 2.749 2.165 2.424 2.297 2.749 ns
GCLK
PLL th 1.361 1.535 -2.056 1.894 2.126 2.008 2.024 2.006 2.121 2.008 2.024 ns
tsu 0.977 0.972 1.752 -1.398 -1.575 -1.489 -1.492 -1.497 -1.559 -1.489 -1.492 ns
GCLK
1.5-V HSTL th -0.698 -0.674 2.290 -1.987 -2.094 -2.047 -2.500 -1.912 -2.149 -2.047 -2.500 ns
CLASS II tsu -1.225 -1.385 2.290 2.228 2.359 2.297 2.749 2.165 2.424 2.297 2.749 ns
GCLK
PLL th 1.361 1.535 2.290 1.894 2.126 2.008 2.024 2.006 2.121 2.008 2.024 ns
tsu 0.977 0.972 2.290 -1.398 -1.575 -1.489 -1.492 -1.497 -1.559 -1.489 -1.492 ns
GCLK
1.2-V HSTL th -0.698 -0.674 2.290 -1.987 -2.094 -2.047 -2.500 -1.912 -2.149 -2.047 -2.500 ns
CLASS I tsu -1.219 -1.374 -2.059 -1.988 -2.283 -2.177 -2.643 -2.003 -2.382 -2.177 -2.643 ns
GCLK
PLL th 1.355 1.524 1.749 1.864 1.862 1.767 1.884 1.864 1.883 1.767 1.884 ns
tsu 0.983 0.983 2.293 2.233 2.553 2.431 2.902 2.260 2.659 2.431 2.902 ns
GCLK
1.2-V HSTL th -0.704 -0.685 -2.059 -1.988 -2.283 -2.177 -2.643 -2.003 -2.382 -2.177 -2.643 ns
CLASS II tsu -1.219 -1.374 -2.059 -1.988 -2.283 -2.177 -2.643 -2.003 -2.382 -2.177 -2.643 ns
GCLK
PLL th 1.355 1.524 1.749 1.864 1.862 1.767 1.884 1.864 1.883 1.767 1.884 ns
tsu 0.983 0.983 2.293 2.233 2.553 2.431 2.902 2.260 2.659 2.431 2.902 ns
GCLK
th -0.704 -0.685 -2.056 -1.989 -2.286 -2.180 -2.646 -2.002 -2.387 -2.180 -2.646 ns
3.0-V PCI
GCLK tsu -1.225 -1.385 2.290 2.234 2.556 2.434 2.905 2.259 2.664 2.434 2.905 ns
PLL th 1.361 1.535 -2.056 -1.989 -2.286 -2.180 -2.646 -2.002 -2.387 -2.180 -2.646 ns
tsu 0.977 0.972 1.752 1.863 1.859 1.764 1.881 1.865 1.878 1.764 1.881 ns
GCLK
3.0-V th -0.698 -0.674 2.290 2.234 2.556 2.434 2.905 2.259 2.664 2.434 2.905 ns
PCI-X tsu -1.225 -1.385 2.299 2.247 2.571 2.449 2.920 2.268 2.674 2.449 2.920 ns
GCLK
PLL th 1.361 1.535 -2.065 -2.002 -2.301 -2.195 -2.661 -2.011 -2.397 -2.195 -2.661 ns
Table 1–133 lists the EP3SE260 column pins output timing parameters for
single-ended I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.644 3.677 5.302 5.460 6.139 5.837 6.259 5.460 6.139 5.837 6.259 ns
4mA GCLK
tco 4.087 4.076 5.937 6.144 6.701 6.529 7.188 6.144 6.701 6.529 7.188 ns
PLL
GCLK tco 3.577 3.610 5.193 5.349 5.969 5.724 6.146 5.349 5.969 5.724 6.146 ns
8mA GCLK
tco 4.020 4.009 5.828 6.033 6.588 6.416 7.018 6.033 6.588 6.416 7.018 ns
3.3-V PLL
LVTTL GCLK tco 3.491 3.524 5.089 5.250 5.817 5.632 6.054 5.250 5.817 5.632 6.054 ns
12mA GCLK
tco 3.934 3.923 5.724 5.934 6.496 6.324 6.866 5.934 6.496 6.324 6.866 ns
PLL
GCLK tco 3.484 3.517 5.072 5.222 5.792 5.591 6.013 5.222 5.792 5.591 6.013 ns
16mA GCLK
tco 3.927 3.916 5.707 5.906 6.455 6.283 6.841 5.906 6.455 6.283 6.841 ns
PLL
GCLK tco 3.650 3.683 5.306 5.465 6.153 5.844 6.266 5.465 6.153 5.844 6.266 ns
4mA GCLK
tco 4.093 4.082 5.941 6.149 6.708 6.536 7.202 6.149 6.708 6.536 7.202 ns
PLL
GCLK tco 3.495 3.528 5.099 5.267 5.823 5.643 6.065 5.267 5.823 5.643 6.065 ns
8mA GCLK
tco 3.938 3.927 5.734 5.951 6.507 6.335 6.872 5.951 6.507 6.335 6.872 ns
3.3-V PLL
LVCMOS GCLK tco 3.502 3.535 5.093 5.246 5.792 5.617 6.039 5.246 5.792 5.617 6.039 ns
12mA GCLK
tco 3.945 3.934 5.728 5.930 6.481 6.309 6.841 5.930 6.481 6.309 6.841 ns
PLL
GCLK tco 3.486 3.519 5.071 5.221 5.735 5.588 6.010 5.221 5.735 5.588 6.010 ns
16mA GCLK
tco 3.929 3.918 5.706 5.905 6.452 6.280 6.784 5.905 6.452 6.280 6.784 ns
PLL
GCLK tco 3.608 3.641 5.269 5.428 6.104 5.804 6.226 5.428 6.104 5.804 6.226 ns
4mA GCLK
tco 4.051 4.040 5.904 6.112 6.668 6.496 7.153 6.112 6.668 6.496 7.153 ns
PLL
GCLK tco 3.497 3.530 5.139 5.294 5.942 5.668 6.088 5.294 5.942 5.668 6.088 ns
8mA GCLK
tco 3.940 3.929 5.774 5.978 6.531 6.360 6.990 5.978 6.531 6.360 6.990 ns
3.0-V PLL
LVTTL GCLK tco 3.461 3.494 5.076 5.225 5.840 5.594 6.014 5.225 5.840 5.594 6.014 ns
12mA GCLK
tco 3.904 3.893 5.711 5.909 6.457 6.286 6.888 5.909 6.457 6.286 6.888 ns
PLL
GCLK tco 3.443 3.476 5.047 5.197 5.790 5.564 5.986 5.197 5.790 5.564 5.986 ns
16mA GCLK
tco 3.886 3.875 5.682 5.881 6.428 6.256 6.838 5.881 6.428 6.256 6.838 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.522 3.555 5.173 5.328 5.996 5.703 6.123 5.328 5.996 5.703 6.123 ns
4mA GCLK
tco 3.965 3.954 5.808 6.012 6.566 6.395 7.044 6.012 6.566 6.395 7.044 ns
PLL
GCLK tco 3.443 3.476 5.049 5.199 5.814 5.568 5.988 5.199 5.814 5.568 5.988 ns
8mA GCLK
tco 3.886 3.875 5.684 5.883 6.431 6.260 6.862 5.883 6.431 6.260 6.862 ns
3.0-V PLL
LVCMOS GCLK tco 3.438 3.471 5.042 5.192 5.746 5.558 5.980 5.192 5.746 5.558 5.980 ns
12mA GCLK
tco 3.881 3.870 5.677 5.876 6.422 6.250 6.795 5.876 6.422 6.250 6.795 ns
PLL
GCLK tco 3.429 3.462 5.028 5.177 5.744 5.543 5.965 5.177 5.744 5.543 5.965 ns
16mA GCLK
tco 3.872 3.861 5.663 5.861 6.407 6.235 6.792 5.861 6.407 6.235 6.792 ns
PLL
GCLK tco 3.644 3.677 5.380 5.555 6.278 5.950 6.370 5.555 6.278 5.950 6.370 ns
4mA GCLK
tco 4.087 4.076 6.015 6.239 6.813 6.642 7.326 6.239 6.813 6.642 7.326 ns
PLL
GCLK tco 3.544 3.577 5.261 5.429 6.081 5.818 6.238 5.429 6.081 5.818 6.238 ns
8mA GCLK
tco 3.987 3.976 5.896 6.113 6.681 6.510 7.129 6.113 6.681 6.510 7.129 ns
PLL
2.5 V
GCLK tco 3.500 3.533 5.174 5.338 5.941 5.721 6.143 5.338 5.941 5.721 6.143 ns
12mA GCLK
tco 3.943 3.932 5.809 6.022 6.585 6.413 6.989 6.022 6.585 6.413 6.989 ns
PLL
GCLK tco 3.462 3.495 5.135 5.296 5.891 5.678 6.100 5.296 5.891 5.678 6.100 ns
16mA GCLK
tco 3.905 3.894 5.770 5.980 6.542 6.370 6.939 5.980 6.542 6.370 6.939 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.835 3.868 5.701 5.915 6.815 6.353 6.775 5.915 6.815 6.353 6.775 ns
2mA GCLK
tco 4.278 4.267 6.336 6.599 7.217 7.045 7.864 6.599 7.217 7.045 7.864 ns
PLL
GCLK tco 3.654 3.687 5.422 5.606 6.354 6.007 6.427 5.606 6.354 6.007 6.427 ns
4mA GCLK
tco 4.097 4.086 6.057 6.290 6.870 6.699 7.402 6.290 6.870 6.699 7.402 ns
PLL
GCLK tco 3.572 3.605 5.315 5.491 6.180 5.895 6.317 5.491 6.180 5.895 6.317 ns
6mA GCLK
tco 4.015 4.004 5.950 6.175 6.759 6.587 7.229 6.175 6.759 6.587 7.229 ns
PLL
1.8 V
GCLK tco 3.552 3.585 5.257 5.437 6.068 5.829 6.251 5.437 6.068 5.829 6.251 ns
8mA GCLK
tco 3.995 3.984 5.892 6.121 6.693 6.521 7.117 6.121 6.693 6.521 7.117 ns
PLL
GCLK tco 3.489 3.522 5.196 5.362 5.954 5.748 6.170 5.362 5.954 5.748 6.170 ns
10mA GCLK
tco 3.932 3.921 5.831 6.046 6.612 6.440 7.003 6.046 6.612 6.440 7.003 ns
PLL
GCLK tco 3.471 3.504 5.175 5.341 5.916 5.725 6.147 5.341 5.916 5.725 6.147 ns
12mA GCLK
tco 3.914 3.903 5.810 6.025 6.589 6.417 6.965 6.025 6.589 6.417 6.965 ns
PLL
GCLK tco 3.781 3.814 5.630 5.847 6.732 6.291 6.713 5.847 6.732 6.291 6.713 ns
2mA GCLK
tco 4.224 4.213 6.265 6.531 7.155 6.983 7.781 6.531 7.155 6.983 7.781 ns
PLL
GCLK tco 3.569 3.602 5.311 5.491 6.176 5.899 6.321 5.491 6.176 5.899 6.321 ns
4mA GCLK
tco 4.012 4.001 5.946 6.175 6.763 6.591 7.225 6.175 6.763 6.591 7.225 ns
PLL
GCLK tco 3.544 3.577 5.244 5.431 6.059 5.832 6.254 5.431 6.059 5.832 6.254 ns
6mA GCLK
tco 3.987 3.976 5.879 6.115 6.696 6.524 7.108 6.115 6.696 6.524 7.108 ns
PLL
1.5 V
GCLK tco 3.533 3.566 5.227 5.406 6.027 5.812 6.234 5.406 6.027 5.812 6.234 ns
8mA GCLK
tco 3.976 3.965 5.862 6.090 6.676 6.504 7.076 6.090 6.676 6.504 7.076 ns
PLL
GCLK tco 3.478 3.511 5.189 5.355 5.945 5.742 6.164 5.355 5.945 5.742 6.164 ns
10mA GCLK
tco 3.921 3.910 5.824 6.039 6.606 6.434 6.994 6.039 6.606 6.434 6.994 ns
PLL
GCLK tco 3.473 3.506 5.172 5.344 5.903 5.731 6.153 5.344 5.903 5.731 6.153 ns
12mA GCLK
tco 3.916 3.905 5.807 6.028 6.595 6.423 6.952 6.028 6.595 6.423 6.952 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.697 3.730 5.556 5.783 6.652 6.235 6.657 5.783 6.652 6.235 6.657 ns
2mA GCLK
tco 4.140 4.129 6.191 6.467 7.099 6.927 7.701 6.467 7.099 6.927 7.701 ns
PLL
GCLK tco 3.574 3.607 5.330 5.521 6.223 5.949 6.371 5.521 6.223 5.949 6.371 ns
4mA GCLK
tco 4.017 4.006 5.965 6.205 6.813 6.641 7.272 6.205 6.813 6.641 7.272 ns
PLL
1.2 V
GCLK tco 3.536 3.569 5.238 5.432 6.068 5.836 6.258 5.432 6.068 5.836 6.258 ns
6mA GCLK
tco 3.979 3.968 5.873 6.116 6.700 6.528 7.117 6.116 6.700 6.528 7.117 ns
PLL
GCLK tco 3.489 3.522 5.210 5.383 5.977 5.780 6.202 5.383 5.977 5.780 6.202 ns
8mA GCLK
tco 3.932 3.921 5.845 6.067 6.644 6.472 7.026 6.067 6.644 6.472 7.026 ns
PLL
GCLK tco 3.489 3.522 5.167 5.330 5.925 5.711 6.133 5.330 5.925 5.711 6.133 ns
8mA GCLK
tco 3.932 3.921 5.802 6.014 6.575 6.403 6.974 6.014 6.575 6.403 6.974 ns
PLL
GCLK tco 3.486 3.519 5.164 5.327 5.930 5.707 6.129 5.327 5.930 5.707 6.129 ns
SSTL-2
10mA GCLK
CLASS I tco 3.929 3.918 5.799 6.011 6.571 6.399 6.978 6.011 6.571 6.399 6.978 ns
PLL
GCLK tco 3.484 3.517 5.164 5.328 5.911 5.708 6.130 5.328 5.911 5.708 6.130 ns
12mA GCLK
tco 3.927 3.916 5.799 6.012 6.572 6.400 6.960 6.012 6.572 6.400 6.960 ns
PLL
GCLK tco 3.475 3.508 5.149 5.312 5.877 5.693 6.115 5.312 5.877 5.693 6.115 ns
SSTL-2
16mA GCLK
CLASS II tco 3.918 3.907 5.784 5.996 6.557 6.385 6.926 5.996 6.557 6.385 6.926 ns
PLL
GCLK tco 3.496 3.529 5.179 5.344 5.952 5.727 6.149 5.344 5.952 5.727 6.149 ns
4mA GCLK
tco 3.939 3.928 5.814 6.028 6.591 6.419 7.000 6.028 6.591 6.419 7.000 ns
PLL
GCLK tco 3.492 3.525 5.177 5.342 5.942 5.725 6.147 5.342 5.942 5.725 6.147 ns
6mA GCLK
tco 3.935 3.924 5.812 6.026 6.589 6.417 6.991 6.026 6.589 6.417 6.991 ns
PLL
GCLK tco 3.481 3.514 5.167 5.333 5.934 5.716 6.138 5.333 5.934 5.716 6.138 ns
SSTL-18
8mA GCLK
CLASS I tco 3.924 3.913 5.802 6.017 6.580 6.408 6.982 6.017 6.580 6.408 6.982 ns
PLL
GCLK tco 3.470 3.503 5.154 5.320 5.896 5.703 6.125 5.320 5.896 5.703 6.125 ns
10mA GCLK
tco 3.913 3.902 5.789 6.004 6.567 6.395 6.945 6.004 6.567 6.395 6.945 ns
PLL
GCLK tco 3.470 3.503 5.154 5.320 5.896 5.703 6.125 5.320 5.896 5.703 6.125 ns
12mA GCLK
tco 3.913 3.902 5.789 6.004 6.567 6.395 6.945 6.004 6.567 6.395 6.945 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.476 3.509 5.153 5.317 5.896 5.698 6.120 5.317 5.896 5.698 6.120 ns
8mA GCLK
tco 3.919 3.908 5.788 6.001 6.562 6.390 6.945 6.001 6.562 6.390 6.945 ns
SSTL-18 PLL
CLASS II GCLK tco 3.479 3.512 5.161 5.326 5.901 5.710 6.132 5.326 5.901 5.710 6.132 ns
16mA GCLK
tco 3.922 3.911 5.796 6.010 6.574 6.402 6.950 6.010 6.574 6.402 6.950 ns
PLL
GCLK tco 3.500 3.533 5.188 5.356 5.967 5.740 6.162 5.356 5.967 5.740 6.162 ns
4mA GCLK
tco 3.943 3.932 5.823 6.040 6.604 6.432 7.016 6.040 6.604 6.432 7.016 ns
PLL
GCLK tco 3.486 3.519 5.178 5.346 5.941 5.731 6.153 5.346 5.941 5.731 6.153 ns
6mA GCLK
tco 3.929 3.918 5.813 6.030 6.595 6.423 6.990 6.030 6.595 6.423 6.990 ns
PLL
GCLK tco 3.475 3.508 5.164 5.332 5.917 5.717 6.139 5.332 5.917 5.717 6.139 ns
SSTL-15
8mA GCLK
CLASS I tco 3.918 3.907 5.799 6.016 6.581 6.409 6.966 6.016 6.581 6.409 6.966 ns
PLL
GCLK tco 3.474 3.507 5.167 5.335 5.906 5.721 6.143 5.335 5.906 5.721 6.143 ns
10mA GCLK
tco 3.917 3.906 5.802 6.019 6.585 6.413 6.955 6.019 6.585 6.413 6.955 ns
PLL
GCLK tco 3.471 3.504 5.162 5.330 5.896 5.715 6.137 5.330 5.896 5.715 6.137 ns
12mA GCLK
tco 3.914 3.903 5.797 6.014 6.579 6.407 6.945 6.014 6.579 6.407 6.945 ns
PLL
GCLK tco 3.473 3.506 5.151 5.316 5.896 5.698 6.120 5.316 5.896 5.698 6.120 ns
8mA GCLK
tco 3.916 3.905 5.786 6.000 6.562 6.390 6.945 6.000 6.562 6.390 6.945 ns
SSTL-15 PLL
CLASS II GCLK tco 3.476 3.509 5.158 5.325 5.902 5.709 6.131 5.325 5.902 5.709 6.131 ns
16mA GCLK
tco 3.919 3.908 5.793 6.009 6.573 6.401 6.951 6.009 6.573 6.401 6.951 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.483 3.516 5.153 5.316 5.910 5.696 6.118 5.316 5.910 5.696 6.118 ns
4mA GCLK
tco 3.926 3.915 5.788 6.000 6.560 6.388 6.959 6.000 6.560 6.388 6.959 ns
PLL
GCLK tco 3.476 3.509 5.151 5.314 5.906 5.695 6.117 5.314 5.906 5.695 6.117 ns
6mA GCLK
tco 3.919 3.908 5.786 5.998 6.559 6.387 6.954 5.998 6.559 6.387 6.954 ns
PLL
1.8-V GCLK tco 3.468 3.501 5.143 5.307 5.879 5.688 6.110 5.307 5.879 5.688 6.110 ns
HSTL 8mA GCLK
CLASS I tco 3.911 3.900 5.778 5.991 6.552 6.380 6.928 5.991 6.552 6.380 6.928 ns
PLL
GCLK tco 3.471 3.504 5.146 5.310 5.882 5.692 6.114 5.310 5.882 5.692 6.114 ns
10mA GCLK
tco 3.914 3.903 5.781 5.994 6.556 6.384 6.931 5.994 6.556 6.384 6.931 ns
PLL
GCLK tco 3.468 3.501 5.149 5.314 5.881 5.696 6.118 5.314 5.881 5.696 6.118 ns
12mA GCLK
tco 3.911 3.900 5.784 5.998 6.560 6.388 6.929 5.998 6.560 6.388 6.929 ns
PLL
1.8-V GCLK tco 3.476 3.509 5.148 5.311 5.878 5.692 6.114 5.311 5.878 5.692 6.114 ns
HSTL 16mA GCLK
CLASS II tco 3.919 3.908 5.783 5.995 6.556 6.384 6.927 5.995 6.556 6.384 6.927 ns
PLL
GCLK tco 3.488 3.521 5.161 5.326 5.926 5.707 6.129 5.326 5.926 5.707 6.129 ns
4mA GCLK
tco 3.931 3.920 5.796 6.010 6.571 6.399 6.975 6.010 6.571 6.399 6.975 ns
PLL
GCLK tco 3.484 3.517 5.162 5.327 5.915 5.710 6.132 5.327 5.915 5.710 6.132 ns
6mA GCLK
tco 3.927 3.916 5.797 6.011 6.574 6.402 6.964 6.011 6.574 6.402 6.964 ns
PLL
1.5-V GCLK tco 3.480 3.513 5.158 5.323 5.909 5.705 6.127 5.323 5.909 5.705 6.127 ns
HSTL 8mA GCLK
CLASS I tco 3.923 3.912 5.793 6.007 6.569 6.397 6.958 6.007 6.569 6.397 6.958 ns
PLL
GCLK tco 3.473 3.506 5.151 5.316 5.896 5.698 6.120 5.316 5.896 5.698 6.120 ns
10mA GCLK
tco 3.916 3.905 5.786 6.000 6.562 6.390 6.945 6.000 6.562 6.390 6.945 ns
PLL
GCLK tco 3.474 3.507 5.158 5.324 5.892 5.708 6.130 5.324 5.892 5.708 6.130 ns
12mA GCLK
tco 3.917 3.906 5.793 6.008 6.572 6.400 6.941 6.008 6.572 6.400 6.941 ns
PLL
1.5-V GCLK tco 3.472 3.505 5.139 5.302 5.871 5.682 6.104 5.302 5.871 5.682 6.104 ns
HSTL 16mA GCLK
CLASS II tco 3.915 3.904 5.774 5.986 6.546 6.374 6.920 5.986 6.546 6.374 6.920 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.491 3.524 5.175 5.343 5.945 5.728 6.150 5.343 5.945 5.728 6.150 ns
4mA GCLK
tco 3.934 3.923 5.810 6.027 6.592 6.420 6.994 6.027 6.592 6.420 6.994 ns
PLL
GCLK tco 3.483 3.516 5.166 5.334 5.928 5.719 6.141 5.334 5.928 5.719 6.141 ns
6mA GCLK
tco 3.926 3.915 5.801 6.018 6.583 6.411 6.977 6.018 6.583 6.411 6.977 ns
PLL
1.2-V GCLK tco 3.484 3.517 5.174 5.342 5.924 5.728 6.150 5.342 5.924 5.728 6.150 ns
HSTL 8mA GCLK
CLASS I tco 3.927 3.916 5.809 6.026 6.592 6.420 6.973 6.026 6.592 6.420 6.973 ns
PLL
GCLK tco 3.473 3.506 5.161 5.329 5.901 5.714 6.136 5.329 5.901 5.714 6.136 ns
10mA GCLK
tco 3.916 3.905 5.796 6.013 6.578 6.406 6.950 6.013 6.578 6.406 6.950 ns
PLL
GCLK tco 3.473 3.506 5.161 5.329 5.902 5.715 6.137 5.329 5.902 5.715 6.137 ns
12mA GCLK
tco 3.916 3.905 5.796 6.013 6.579 6.407 6.951 6.013 6.579 6.407 6.951 ns
PLL
1.2-V GCLK tco 3.494 3.527 5.177 5.344 5.980 5.728 6.150 5.344 5.980 5.728 6.150 ns
HSTL 16mA GCLK
CLASS II tco 3.937 3.926 5.812 6.028 6.592 6.420 7.029 6.028 6.592 6.420 7.029 ns
PLL
GCLK tco 3.597 3.630 5.222 5.378 5.955 5.753 6.175 5.378 5.955 5.753 6.175 ns
3.0-V PCI — GCLK
tco 4.040 4.029 5.857 6.062 6.617 6.445 7.004 6.062 6.617 6.445 7.004 ns
PLL
GCLK tco 3.597 3.630 5.222 5.378 5.955 5.753 6.175 5.378 5.955 5.753 6.175 ns
3.0-V
— GCLK
PCI-X tco 4.040 4.029 5.857 6.062 6.617 6.445 7.004 6.062 6.617 6.445 7.004 ns
PLL
Table 1–134 lists the EP3SE260 row pins output timing parameters for single-ended
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.658 3.906 5.671 5.870 6.489 6.261 6.714 6.069 6.542 6.261 6.714 ns
4mA GCLK
3.3-V tco 1.592 1.789 2.236 2.327 2.510 2.494 2.459 2.447 2.637 2.494 2.459 ns
PLL
LVCMOS
GCLK tco 3.460 3.711 5.420 5.616 6.218 6.005 6.456 5.801 6.324 6.005 6.456 ns
8mA GCLK
tco 1.394 1.580 1.985 2.067 2.239 2.260 2.188 2.179 2.363 2.260 2.188 ns
PLL
GCLK tco 3.602 3.848 5.615 5.818 6.441 6.213 6.666 6.020 6.496 6.213 6.666 ns
4mA GCLK
tco 1.536 1.731 2.180 2.275 2.462 2.446 2.411 2.398 2.590 2.446 2.411 ns
PLL
3.0-V GCLK tco 3.477 3.721 5.463 5.659 6.277 6.049 6.502 5.858 6.351 6.049 6.502 ns
LVTTL 8mA GCLK
tco 1.411 1.604 2.028 2.116 2.298 2.282 2.247 2.236 2.426 2.282 2.247 ns
PLL
GCLK tco 3.438 3.678 5.381 5.576 6.189 5.961 6.414 5.772 6.277 5.961 6.414 ns
12mA GCLK
tco 1.372 1.553 1.946 2.033 2.210 2.211 2.159 2.150 2.333 2.211 2.159 ns
PLL
GCLK tco 3.516 3.767 5.510 5.711 6.330 6.102 6.555 5.912 6.387 6.102 6.555 ns
4mA GCLK
3.0-V tco 1.450 1.650 2.075 2.168 2.351 2.335 2.300 2.290 2.479 2.335 2.300 ns
PLL
LVCMOS
GCLK tco 3.416 3.662 5.351 5.545 6.150 5.928 6.379 5.732 6.249 5.928 6.379 ns
8mA GCLK
tco 1.350 1.531 1.911 1.994 2.171 2.183 2.120 2.110 2.293 2.183 2.120 ns
PLL
GCLK tco 3.628 3.884 5.748 5.972 6.613 6.385 6.838 6.180 6.648 6.385 6.838 ns
4mA GCLK
tco 1.562 1.767 2.313 2.429 2.634 2.618 2.583 2.558 2.769 2.618 2.583 ns
PLL
2.5 V
GCLK tco 3.518 3.785 5.593 5.809 6.443 6.215 6.668 6.013 6.504 6.215 6.668 ns
8mA GCLK
tco 1.452 1.668 2.158 2.266 2.464 2.448 2.413 2.391 2.595 2.448 2.413 ns
PLL
GCLK tco 3.472 3.718 5.482 5.690 6.317 6.089 6.542 5.890 6.409 6.089 6.542 ns
12mA GCLK
tco 1.406 1.592 2.047 2.147 2.338 2.338 2.287 2.268 2.465 2.338 2.287 ns
PLL
GCLK tco 3.886 4.170 6.174 6.450 7.088 6.750 7.260 6.640 7.070 6.750 7.260 ns
2mA GCLK
tco 1.787 2.014 2.698 2.822 3.109 3.100 3.058 2.988 3.253 3.100 3.058 ns
PLL
GCLK tco 3.661 3.968 5.848 6.082 6.683 6.390 6.855 6.275 6.709 6.390 6.855 ns
4mA GCLK
tco 1.562 1.812 2.372 2.454 2.704 2.695 2.653 2.623 2.847 2.695 2.653 ns
1.8 V PLL
GCLK tco 3.596 3.866 5.694 5.932 6.524 6.289 6.709 6.107 6.603 6.289 6.709 ns
6mA GCLK
tco 1.497 1.710 2.218 2.304 2.545 2.536 2.494 2.455 2.674 2.536 2.494 ns
PLL
GCLK tco 3.536 3.792 5.617 5.839 6.428 6.224 6.644 6.012 6.531 6.224 6.644 ns
8mA GCLK
tco 1.437 1.649 2.141 2.227 2.449 2.440 2.398 2.360 2.580 2.440 2.398 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.797 4.088 6.084 6.364 7.016 6.687 7.188 6.547 7.005 6.687 7.188 ns
2mA GCLK
tco 1.698 1.932 2.608 2.736 3.037 3.028 2.986 2.895 3.177 3.028 2.986 ns
PLL
GCLK tco 3.555 3.831 5.679 5.927 6.525 6.293 6.713 6.101 6.604 6.293 6.713 ns
4mA GCLK
1.5 V tco 1.456 1.675 2.203 2.299 2.546 2.537 2.495 2.449 2.673 2.537 2.495 ns
PLL
GCLK tco 3.528 3.783 5.606 5.831 6.419 6.224 6.644 6.003 6.531 6.224 6.644 ns
6mA GCLK
tco 1.429 1.640 2.130 2.219 2.440 2.431 2.389 2.351 2.568 2.431 2.389 ns
PLL
GCLK tco 3.519 3.774 5.584 5.813 6.400 6.205 6.625 5.985 6.512 6.205 6.625 ns
8mA GCLK
tco 1.420 1.629 2.108 2.195 2.421 2.412 2.370 2.333 2.549 2.412 2.370 ns
PLL
GCLK tco 3.740 4.013 5.994 6.278 6.941 6.626 7.113 6.459 6.936 6.626 7.113 ns
2mA GCLK
tco 1.641 1.857 2.518 2.650 2.962 2.953 2.911 2.807 3.093 2.953 2.911 ns
1.2 V PLL
GCLK tco 3.560 3.824 5.701 5.955 6.566 6.341 6.761 6.126 6.648 6.341 6.761 ns
4mA GCLK
tco 1.461 1.670 2.225 2.327 2.587 2.578 2.536 2.474 2.715 2.578 2.536 ns
PLL
GCLK tco 3.457 3.706 5.468 5.677 6.285 6.073 6.524 5.857 6.395 6.073 6.524 ns
8mA GCLK
SSTL-2 tco 1.391 1.578 2.024 2.119 2.306 2.328 2.255 2.235 2.434 2.328 2.255 ns
PLL
CLASS I
GCLK tco 3.445 3.702 5.465 5.675 6.277 6.071 6.522 5.849 6.394 6.071 6.522 ns
12mA GCLK
tco 1.379 1.566 2.016 2.111 2.301 2.326 2.247 2.227 2.433 2.326 2.247 ns
PLL
GCLK tco 3.429 3.691 5.450 5.659 6.250 6.054 6.505 5.823 6.376 6.054 6.505 ns
SSTL-2
16mA GCLK
CLASS II tco 1.363 1.548 1.991 2.086 2.284 2.309 2.220 2.201 2.415 2.309 2.220 ns
PLL
GCLK tco 3.497 3.748 5.512 5.726 6.301 6.119 6.539 5.893 6.422 6.119 6.539 ns
4mA GCLK
tco 1.398 1.593 2.041 2.131 2.324 2.313 2.271 2.241 2.459 2.313 2.271 ns
PLL
GCLK tco 3.482 3.734 5.509 5.724 6.299 6.118 6.538 5.891 6.420 6.118 6.538 ns
6mA GCLK
tco 1.383 1.588 2.039 2.130 2.323 2.311 2.269 2.239 2.457 2.311 2.269 ns
PLL
GCLK tco 3.471 3.722 5.492 5.707 6.282 6.108 6.528 5.874 6.411 6.108 6.528 ns
SSTL-18
8mA GCLK
CLASS I tco 1.372 1.577 2.029 2.120 2.313 2.294 2.252 2.222 2.448 2.294 2.252 ns
PLL
GCLK tco 3.447 3.699 5.476 5.691 6.267 6.095 6.515 5.859 6.399 6.095 6.515 ns
10mA GCLK
tco 1.348 1.566 2.016 2.107 2.300 2.279 2.237 2.207 2.436 2.279 2.237 ns
PLL
GCLK tco 3.447 3.698 5.475 5.690 6.266 6.095 6.515 5.858 6.399 6.095 6.515 ns
12mA GCLK
tco 1.348 1.565 2.016 2.107 2.300 2.278 2.236 2.206 2.436 2.278 2.236 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.457 3.707 5.473 5.686 6.260 6.090 6.510 5.853 6.393 6.090 6.510 ns
8mA GCLK
SSTL-18 tco 1.358 1.572 2.015 2.104 2.295 2.272 2.230 2.201 2.430 2.272 2.230 ns
PLL
CLASS II
GCLK tco 3.451 3.702 5.472 5.687 6.262 6.100 6.520 5.855 6.404 6.100 6.520 ns
16mA GCLK
tco 1.352 1.575 2.021 2.112 2.305 2.274 2.233 2.203 2.441 2.274 2.233 ns
PLL
GCLK tco 3.493 3.744 5.523 5.740 6.318 6.132 6.552 5.906 6.434 6.132 6.552 ns
4mA GCLK
tco 1.394 1.596 2.050 2.142 2.339 2.330 2.288 2.254 2.471 2.330 2.288 ns
PLL
SSTL-15 GCLK tco 3.470 3.722 5.505 5.723 6.301 6.122 6.542 5.890 6.425 6.122 6.542 ns
CLASS I 6mA GCLK
tco 1.371 1.582 2.039 2.132 2.327 2.313 2.271 2.238 2.462 2.313 2.271 ns
PLL
GCLK tco 3.453 3.705 5.488 5.705 6.283 6.109 6.529 5.872 6.412 6.109 6.529 ns
8mA GCLK
tco 1.354 1.570 2.026 2.119 2.314 2.295 2.253 2.220 2.449 2.295 2.253 ns
PLL
GCLK tco 3.472 3.720 5.479 5.691 6.263 6.088 6.508 5.857 6.390 6.088 6.508 ns
4mA GCLK
tco 1.373 1.578 2.014 2.102 2.293 2.275 2.233 2.205 2.427 2.275 2.233 ns
PLL
GCLK tco 3.460 3.708 5.470 5.683 6.255 6.087 6.507 5.849 6.390 6.087 6.507 ns
6mA GCLK
tco 1.361 1.572 2.012 2.101 2.292 2.267 2.225 2.197 2.427 2.267 2.225 ns
PLL
1.8-V
GCLK tco 3.447 3.696 5.462 5.674 6.247 6.080 6.500 5.841 6.383 6.080 6.500 ns
HSTL
CLASS I 8mA GCLK
tco 1.348 1.564 2.005 2.094 2.285 2.259 2.217 2.189 2.420 2.259 2.217 ns
PLL
GCLK tco 3.449 3.698 5.464 5.677 6.250 6.084 6.504 5.844 6.386 6.084 6.504 ns
10mA GCLK
tco 1.350 1.566 2.008 2.097 2.289 2.262 2.220 2.192 2.423 2.262 2.220 ns
PLL
GCLK tco 3.442 3.693 5.462 5.676 6.250 6.087 6.507 5.844 6.391 6.087 6.507 ns
12mA GCLK
tco 1.343 1.563 2.010 2.100 2.292 2.262 2.220 2.192 2.428 2.262 2.220 ns
PLL
1.8-V GCLK tco 3.448 3.697 5.457 5.668 6.241 6.083 6.503 5.835 6.385 6.083 6.503 ns
HSTL 16mA GCLK
CLASS II tco 1.349 1.571 2.008 2.097 2.288 2.253 2.216 2.183 2.422 2.253 2.216 ns
PLL
GCLK tco 3.479 3.727 5.490 5.704 6.278 6.099 6.519 5.869 6.401 6.099 6.519 ns
4mA GCLK
tco 1.380 1.584 2.023 2.112 2.304 2.290 2.248 2.217 2.438 2.290 2.248 ns
PLL
1.5-V
HSTL
GCLK tco 3.467 3.717 5.486 5.700 6.274 6.101 6.521 5.866 6.404 6.101 6.521 ns
CLASS I 6mA GCLK
tco 1.368 1.579 2.024 2.114 2.306 2.286 2.244 2.214 2.441 2.286 2.244 ns
PLL
GCLK tco 3.463 3.712 5.480 5.694 6.268 6.096 6.516 5.860 6.398 6.096 6.516 ns
8mA GCLK
tco 1.364 1.575 2.019 2.109 2.301 2.280 2.238 2.208 2.435 2.280 2.238 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O
Clock Units
Standard VCCL= VCCL = VCCL= VCCL= VCCL= VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.478 3.726 5.501 5.718 6.296 6.118 6.538 5.883 6.420 6.118 6.538 ns
4mA GCLK
tco 1.379 1.586 2.036 2.128 2.323 2.308 2.266 2.231 2.457 2.308 2.266 ns
PLL
1.2-V
GCLK tco 3.466 3.714 5.490 5.706 6.284 6.109 6.529 5.872 6.411 6.109 6.529 ns
HSTL
CLASS I 6mA GCLK
tco 1.367 1.578 2.027 2.119 2.314 2.296 2.254 2.220 2.448 2.296 2.254 ns
PLL
GCLK tco 3.463 3.712 5.494 5.711 6.290 6.118 6.538 5.878 6.421 6.118 6.538 ns
8mA GCLK
tco 1.364 1.578 2.034 2.127 2.323 2.302 2.260 2.226 2.458 2.302 2.260 ns
PLL
GCLK tco 3.542 3.812 5.520 5.723 6.294 6.113 6.564 5.875 6.437 6.113 6.564 ns
3.0-V PCI — GCLK
tco 1.476 1.661 2.049 2.137 2.343 2.368 2.264 2.253 2.476 2.368 2.264 ns
PLL
GCLK tco 3.542 3.812 5.520 5.723 6.294 6.113 6.564 5.875 6.437 6.113 6.564 ns
3.0-V
— GCLK
PCI-X tco 1.476 1.661 2.049 2.137 2.343 2.368 2.264 2.253 2.476 2.368 2.264 ns
PLL
Table 1–135 through Table 1–138 list the maximum I/O timing parameters for
EP3SE260 devices for differential I/O standards.
Table 1–135 lists the EP3SE260 column pins input timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
DIFFERENTIAL GCLK
th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.2-V HSTL
CLASS II GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
GCLK
DIFFERENTIAL th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.5-V HSTL
CLASS I GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
DIFFERENTIAL GCLK
th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
1.5-V HSTL
CLASS II GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
DIFFERENTIAL GCLK
th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
1.8-V HSTL
CLASS I GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
GCLK
DIFFERENTIAL th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.8-V HSTL
CLASS II GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.173 -1.244 -1.898 -1.933 -2.102 -2.022 -2.494 -1.950 -2.117 -2.022 -2.494 ns
DIFFERENTIAL GCLK
th 1.309 1.399 2.133 2.172 2.365 2.272 2.746 2.199 2.388 2.272 2.746 ns
1.5-V SSTL
CLASS I GCLK tsu 1.083 1.099 1.863 1.964 2.172 2.064 2.061 1.976 2.184 2.064 2.061 ns
PLL th -0.804 -0.798 -1.384 -1.471 -1.624 -1.545 -1.527 -1.471 -1.626 -1.545 -1.527 ns
tsu -1.180 -1.250 -1.910 -1.938 -2.102 -2.024 -2.493 -1.954 -2.112 -2.024 -2.493 ns
GCLK
DIFFERENTIAL th 1.316 1.405 2.146 2.180 2.368 2.275 2.750 2.206 2.388 2.275 2.750 ns
1.5-V SSTL
CLASS II GCLK tsu 1.076 1.093 1.851 1.959 2.172 2.062 2.062 1.972 2.189 2.062 2.062 ns
PLL th -0.797 -0.792 -1.371 -1.463 -1.621 -1.542 -1.523 -1.464 -1.626 -1.542 -1.523 ns
tsu -1.180 -1.250 -1.910 -1.938 -2.102 -2.024 -2.493 -1.954 -2.112 -2.024 -2.493 ns
DIFFERENTIAL GCLK
th 1.316 1.405 2.146 2.180 2.368 2.275 2.750 2.206 2.388 2.275 2.750 ns
1.8-V SSTL
CLASS I GCLK tsu 1.076 1.093 1.851 1.959 2.172 2.062 2.062 1.972 2.189 2.062 2.062 ns
PLL th -0.797 -0.792 -1.371 -1.463 -1.621 -1.542 -1.523 -1.464 -1.626 -1.542 -1.523 ns
tsu -1.153 -1.221 -1.879 -1.911 -2.067 -1.987 -2.459 -1.928 -2.084 -1.987 -2.459 ns
DIFFERENTIAL GCLK
th 1.289 1.376 2.114 2.150 2.330 2.237 2.711 2.177 2.355 2.237 2.711 ns
1.8-V SSTL
CLASS II GCLK tsu 1.103 1.122 1.882 1.986 2.207 2.099 2.096 1.998 2.217 2.099 2.096 ns
PLL th -0.824 -0.821 -1.403 -1.493 -1.659 -1.580 -1.562 -1.493 -1.659 -1.580 -1.562 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.153 -1.221 -1.879 -1.911 -2.067 -1.987 -2.459 -1.928 -2.084 -1.987 -2.459 ns
DIFFERENTIAL GCLK
th 1.289 1.376 2.114 2.150 2.330 2.237 2.711 2.177 2.355 2.237 2.711 ns
2.5-V SSTL
CLASS I GCLK tsu 1.103 1.122 1.882 1.986 2.207 2.099 2.096 1.998 2.217 2.099 2.096 ns
PLL th -0.824 -0.821 -1.403 -1.493 -1.659 -1.580 -1.562 -1.493 -1.659 -1.580 -1.562 ns
tsu -1.161 -1.233 -1.889 -1.922 -2.083 -2.003 -2.475 -1.939 -2.099 -2.003 -2.475 ns
GCLK
DIFFERENTIAL th 1.297 1.388 2.124 2.161 2.346 2.253 2.727 2.188 2.370 2.253 2.727 ns
2.5-V SSTL
CLASS II GCLK tsu 1.095 1.110 1.872 1.975 2.191 2.083 2.080 1.987 2.202 2.083 2.080 ns
PLL th -0.816 -0.809 -1.393 -1.482 -1.643 -1.564 -1.546 -1.482 -1.644 -1.564 -1.546 ns
Table 1–136 lists the EP3SE260 row pins input timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
DIFFERENTIAL th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
1.5-V
HSTL CLASS I GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
DIFFERENTIAL th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
1.5-V
HSTL CLASS II GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
GCLK
DIFFERENTIAL th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
1.8-V
HSTL CLASS I GCLK tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
PLL th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
GCLK tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
DIFFERENTIAL th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
1.8-V GCLK
HSTL CLASS II tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
PLL
th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
DIFFERENTIAL th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
1.5-V
SSTL CLASS I GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
tsu -1.146 -1.228 -1.858 -1.887 -2.046 -1.969 -2.416 -1.910 -2.068 -1.969 -2.416 ns
GCLK
DIFFERENTIAL th 1.283 1.381 2.097 2.132 2.313 2.222 2.672 2.165 2.346 2.222 2.672 ns
1.5-V
SSTL CLASS II GCLK tsu 1.055 1.059 1.857 1.971 2.200 2.082 2.102 1.976 2.205 2.082 2.102 ns
PLL th -0.774 -0.760 -1.375 -1.473 -1.647 -1.560 -1.565 -1.465 -1.638 -1.560 -1.565 ns
tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
GCLK
DIFFERENTIAL th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
1.8-V
SSTL CLASS I GCLK tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
PLL th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
tsu -1.160 -1.240 -1.867 -1.897 -2.064 -1.987 -2.434 -1.921 -2.085 -1.987 -2.434 ns
GCLK
DIFFERENTIAL th 1.297 1.393 2.106 2.142 2.331 2.240 2.690 2.176 2.363 2.240 2.690 ns
1.8-V
SSTL CLASS II GCLK tsu 1.041 1.047 1.844 1.961 2.182 2.064 2.084 1.965 2.188 2.064 2.084 ns
PLL th -0.760 -0.748 -1.363 -1.463 -1.629 -1.542 -1.547 -1.454 -1.621 -1.542 -1.547 ns
tsu -1.169 -1.249 -1.881 -1.908 -2.071 -1.995 -2.440 -1.928 -2.086 -1.995 -2.440 ns
GCLK
DIFFERENTIAL th 1.306 1.402 2.121 2.156 2.341 2.250 2.701 2.186 2.369 2.250 2.701 ns
2.5-V
SSTL CLASS I GCLK tsu 1.032 1.038 1.829 1.945 2.171 2.052 2.073 1.954 2.182 2.052 2.073 ns
PLL th -0.751 -0.739 -1.348 -1.445 -1.615 -1.528 -1.532 -1.440 -1.612 -1.528 -1.532 ns
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tsu -1.169 -1.249 -1.881 -1.908 -2.071 -1.995 -2.440 -1.928 -2.086 -1.995 -2.440 ns
GCLK
DIFFERENTIAL th 1.306 1.402 2.121 2.156 2.341 2.250 2.701 2.186 2.369 2.250 2.701 ns
2.5-V
SSTL CLASS II GCLK tsu 1.032 1.038 1.829 1.945 2.171 2.052 2.073 1.954 2.182 2.052 2.073 ns
PLL th -0.751 -0.739 -1.348 -1.445 -1.615 -1.528 -1.532 -1.440 -1.612 -1.528 -1.532 ns
Table 1–137 lists the EP3SE260 column pins output timing parameters for differential
I/O standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.500 3.776 5.560 5.771 6.333 6.169 6.576 5.914 6.475 6.169 6.576 ns
4mA GCLK
tco 3.495 3.772 5.560 5.771 6.334 6.170 6.577 5.915 6.477 6.170 6.577 ns
PLL
GCLK tco 3.493 3.770 5.559 5.770 6.332 6.168 6.575 5.914 6.476 6.168 6.575 ns
6mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
DIFFERENTIAL
GCLK tco 3.486 3.763 5.555 5.767 6.331 6.167 6.574 5.912 6.475 6.167 6.574 ns
1.2-V HSTL
CLASS I 8mA GCLK
tco 3.485 3.760 5.538 5.748 6.309 6.145 6.552 5.891 6.451 6.145 6.552 ns
PLL
GCLK tco 3.497 3.773 5.556 5.766 6.327 6.163 6.570 5.910 6.470 6.163 6.570 ns
10mA GCLK
tco 3.493 3.770 5.557 5.768 6.331 6.167 6.574 5.912 6.474 6.167 6.574 ns
PLL
GCLK tco 3.483 3.759 5.546 5.757 6.319 6.155 6.562 5.901 6.462 6.155 6.562 ns
12mA GCLK
tco 3.481 3.757 5.544 5.754 6.317 6.153 6.560 5.899 6.460 6.153 6.560 ns
PLL
DIFFERENTIAL GCLK tco 3.481 3.758 5.547 5.759 6.322 6.158 6.565 5.903 6.466 6.158 6.565 ns
1.2-V HSTL 16mA GCLK
CLASS II tco 3.485 3.761 5.544 5.754 6.316 6.152 6.559 5.898 6.459 6.152 6.559 ns
PLL
GCLK tco 3.511 3.790 5.589 5.802 6.366 6.202 6.609 5.946 6.509 6.202 6.609 ns
4mA GCLK
tco 3.497 3.776 5.577 5.791 6.356 6.192 6.599 5.936 6.500 6.192 6.599 ns
PLL
GCLK tco 3.485 3.763 5.560 5.773 6.338 6.174 6.581 5.918 6.482 6.174 6.581 ns
6mA GCLK
tco 3.485 3.763 5.563 5.777 6.342 6.178 6.585 5.922 6.487 6.178 6.585 ns
PLL
DIFFERENTIAL
1.5-V HSTL
GCLK tco 3.481 3.759 5.556 5.769 6.335 6.171 6.578 5.915 6.479 6.171 6.578 ns
CLASS I 8mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
GCLK tco 3.486 3.763 5.557 5.770 6.334 6.170 6.577 5.914 6.478 6.170 6.577 ns
10mA GCLK
tco 3.514 3.793 5.588 5.800 6.364 6.200 6.607 5.945 6.507 6.200 6.607 ns
PLL
GCLK tco 3.503 3.781 5.576 5.788 6.352 6.188 6.595 5.933 6.495 6.188 6.595 ns
12mA GCLK
tco 3.498 3.777 5.576 5.789 6.353 6.189 6.596 5.934 6.497 6.189 6.596 ns
PLL
DIFFERENTIAL GCLK tco 3.484 3.762 5.558 5.770 6.334 6.170 6.577 5.915 6.479 6.170 6.577 ns
1.5-V HSTL 16mA GCLK
CLASS II tco 3.482 3.760 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.486 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
4mA GCLK
tco 3.486 3.763 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
GCLK tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
6mA GCLK
tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
PLL
DIFFERENTIAL
GCLK tco 3.492 3.770 5.562 5.773 6.336 6.172 6.579 5.918 6.480 6.172 6.579 ns
1.8-V HSTL
CLASS I 8mA GCLK
tco 3.485 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
PLL
GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
10mA GCLK
tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
12mA GCLK
tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
DIFFERENTIAL GCLK tco 3.479 3.750 5.506 5.712 6.270 6.106 6.513 5.854 6.411 6.106 6.513 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 3.475 3.753 5.553 5.767 6.332 6.168 6.575 5.913 6.477 6.168 6.575 ns
PLL
GCLK tco 3.506 3.783 5.577 5.790 6.354 6.190 6.597 5.934 6.497 6.190 6.597 ns
4mA GCLK
tco 3.496 3.773 5.567 5.779 6.344 6.180 6.587 5.923 6.487 6.180 6.587 ns
PLL
GCLK tco 3.496 3.773 5.570 5.783 6.348 6.184 6.591 5.928 6.492 6.184 6.591 ns
6mA GCLK
tco 3.489 3.767 5.563 5.777 6.342 6.178 6.585 5.921 6.486 6.178 6.585 ns
PLL
DIFFERENTIAL
1.5-V SSTL
GCLK tco 3.488 3.765 5.560 5.774 6.339 6.175 6.582 5.918 6.482 6.175 6.582 ns
CLASS I 8mA GCLK
tco 3.510 3.787 5.581 5.794 6.358 6.194 6.601 5.938 6.502 6.194 6.601 ns
PLL
GCLK tco 3.500 3.776 5.560 5.771 6.333 6.169 6.576 5.914 6.475 6.169 6.576 ns
10mA GCLK
tco 3.495 3.772 5.560 5.771 6.334 6.170 6.577 5.915 6.477 6.170 6.577 ns
PLL
GCLK tco 3.493 3.770 5.559 5.770 6.332 6.168 6.575 5.914 6.476 6.168 6.575 ns
12mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
GCLK tco 3.486 3.763 5.555 5.767 6.331 6.167 6.574 5.912 6.475 6.167 6.574 ns
DIFFERENTIAL 8mA GCLK
tco 3.485 3.760 5.538 5.748 6.309 6.145 6.552 5.891 6.451 6.145 6.552 ns
1.5-V SSTL PLL
CLASS II GCLK tco 3.497 3.773 5.556 5.766 6.327 6.163 6.570 5.910 6.470 6.163 6.570 ns
16mA GCLK
tco 3.493 3.770 5.557 5.768 6.331 6.167 6.574 5.912 6.474 6.167 6.574 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL = VCCL=
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.483 3.759 5.546 5.757 6.319 6.155 6.562 5.901 6.462 6.155 6.562 ns
4mA GCLK
tco 3.481 3.757 5.544 5.754 6.317 6.153 6.560 5.899 6.460 6.153 6.560 ns
PLL
GCLK tco 3.481 3.758 5.547 5.759 6.322 6.158 6.565 5.903 6.466 6.158 6.565 ns
6mA GCLK
tco 3.485 3.761 5.544 5.754 6.316 6.152 6.559 5.898 6.459 6.152 6.559 ns
PLL
DIFFERENTIAL
GCLK tco 3.511 3.790 5.589 5.802 6.366 6.202 6.609 5.946 6.509 6.202 6.609 ns
1.8-V SSTL
CLASS I 8mA GCLK
tco 3.497 3.776 5.577 5.791 6.356 6.192 6.599 5.936 6.500 6.192 6.599 ns
PLL
GCLK tco 3.485 3.763 5.560 5.773 6.338 6.174 6.581 5.918 6.482 6.174 6.581 ns
10mA GCLK
tco 3.485 3.763 5.563 5.777 6.342 6.178 6.585 5.922 6.487 6.178 6.585 ns
PLL
GCLK tco 3.481 3.759 5.556 5.769 6.335 6.171 6.578 5.915 6.479 6.171 6.578 ns
12mA GCLK
tco 3.485 3.761 5.549 5.760 6.323 6.159 6.566 5.904 6.466 6.159 6.566 ns
PLL
GCLK tco 3.486 3.763 5.557 5.770 6.334 6.170 6.577 5.914 6.478 6.170 6.577 ns
DIFFERENTIAL 8mA GCLK
1.8-V SSTL tco 3.514 3.793 5.588 5.800 6.364 6.200 6.607 5.945 6.507 6.200 6.607 ns
PLL
CLASS II GCLK tco 3.503 3.781 5.576 5.788 6.352 6.188 6.595 5.933 6.495 6.188 6.595 ns
16mA GCLK
tco 3.498 3.777 5.576 5.789 6.353 6.189 6.596 5.934 6.497 6.189 6.596 ns
PLL
GCLK tco 3.484 3.762 5.558 5.770 6.334 6.170 6.577 5.915 6.479 6.170 6.577 ns
8mA GCLK
tco 3.482 3.760 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
DIFFERENTIAL
2.5-V SSTL
GCLK tco 3.486 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
CLASS I 10mA GCLK
tco 3.486 3.763 5.556 5.768 6.332 6.168 6.575 5.913 6.476 6.168 6.575 ns
PLL
GCLK tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
12mA GCLK
tco 3.502 3.780 5.572 5.783 6.346 6.182 6.589 5.928 6.489 6.182 6.589 ns
PLL
DIFFERENTIAL GCLK tco 3.492 3.770 5.562 5.773 6.336 6.172 6.579 5.918 6.480 6.172 6.579 ns
2.5-V SSTL 16mA GCLK
CLASS II tco 3.485 3.762 5.548 5.758 6.320 6.156 6.563 5.902 6.463 6.156 6.563 ns
PLL
Table 1–138 lists the EP3SE260 row pins output timing parameters for differential I/O
standards.
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
I/O Standard Current Clock Units
VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
LVDS — GCLK
tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
LVDS_E_1R — GCLK
tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
PLL
GCLK tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
LVDS_E_3R — GCLK
tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
PLL
GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
MINI-LVDS — GCLK
tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
MINI-
— GCLK
LVDS_E_1R tco 3.562 3.845 5.678 5.894 6.468 6.301 6.680 6.046 6.621 6.301 6.680 ns
PLL
GCLK tco 3.548 3.831 5.665 5.881 6.455 6.288 6.667 6.032 6.608 6.288 6.667 ns
MINI-
— GCLK
LVDS_E_3R tco 3.544 3.827 5.663 5.881 6.456 6.289 6.668 6.032 6.610 6.289 6.668 ns
PLL
GCLK tco 3.560 3.842 5.664 5.878 6.450 6.283 6.662 6.029 6.603 6.283 6.662 ns
RSDS — GCLK
tco 3.549 3.832 5.660 5.874 6.447 6.280 6.659 6.026 6.600 6.280 6.659 ns
PLL
GCLK tco 3.546 3.829 5.658 5.872 6.445 6.278 6.657 6.024 6.599 6.278 6.657 ns
RSDS_E_1R — GCLK
tco 3.557 3.839 5.659 5.873 6.444 6.277 6.656 6.023 6.597 6.277 6.656 ns
PLL
GCLK tco 3.547 3.830 5.657 5.871 6.443 6.276 6.655 6.023 6.597 6.276 6.655 ns
RSDS_E_3R — GCLK
tco 3.533 3.816 5.642 5.856 6.429 6.262 6.641 6.008 6.582 6.262 6.641 ns
PLL
GCLK tco 3.530 3.812 5.638 5.852 6.425 6.258 6.637 6.004 6.578 6.258 6.637 ns
4mA GCLK
tco 3.527 3.810 5.639 5.855 6.428 6.261 6.640 6.007 6.582 6.261 6.640 ns
PLL
DIFFERENTIAL GCLK tco 3.528 3.810 5.629 5.843 6.415 6.248 6.627 5.994 6.568 6.248 6.627 ns
1.2-V 6mA GCLK
HSTL CLASS I tco 3.577 3.863 5.700 5.916 6.491 6.324 6.703 6.068 6.644 6.324 6.703 ns
PLL
GCLK tco 3.553 3.839 5.682 5.899 6.474 6.307 6.686 6.051 6.629 6.307 6.686 ns
8mA GCLK
tco 3.535 3.820 5.660 5.877 6.452 6.285 6.664 6.029 6.607 6.285 6.664 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.581 3.866 5.700 5.916 6.490 6.323 6.702 6.068 6.644 6.323 6.702 ns
4mA GCLK
tco 3.566 3.851 5.686 5.901 6.475 6.308 6.687 6.053 6.629 6.308 6.687 ns
PLL
DIFFERENTIAL GCLK tco 3.555 3.840 5.681 5.898 6.472 6.305 6.684 6.050 6.627 6.305 6.684 ns
1.5-V 6mA GCLK
HSTL CLASS I tco 3.535 3.820 5.658 5.874 6.449 6.282 6.661 6.027 6.603 6.282 6.661 ns
PLL
GCLK tco 3.532 3.816 5.654 5.871 6.445 6.278 6.657 6.023 6.600 6.278 6.657 ns
8mA GCLK
tco 3.537 3.820 5.645 5.859 6.431 6.264 6.643 6.010 6.584 6.264 6.643 ns
PLL
GCLK tco 3.530 3.813 5.644 5.860 6.434 6.267 6.646 6.013 6.589 6.267 6.646 ns
4mA GCLK
tco 3.568 3.852 5.682 5.897 6.470 6.303 6.682 6.049 6.624 6.303 6.682 ns
PLL
GCLK tco 3.550 3.835 5.667 5.882 6.455 6.288 6.667 6.034 6.609 6.288 6.667 ns
6mA GCLK
tco 3.536 3.819 5.644 5.858 6.430 6.263 6.642 6.010 6.584 6.263 6.642 ns
PLL
DIFFERENTIAL GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
1.8-V 8mA GCLK
HSTL CLASS I tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
10mA GCLK
tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
PLL
GCLK tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
12mA GCLK
tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
PLL
DIFFERENTIAL GCLK tco 3.152 3.376 4.936 5.115 5.637 5.478 5.865 5.239 5.762 5.478 5.865 ns
1.8-V HSTL 16mA GCLK
CLASS II tco 3.536 3.812 5.604 5.814 6.381 6.214 6.593 5.962 6.531 6.214 6.593 ns
PLL
GCLK tco 3.518 3.802 5.642 5.860 6.435 6.268 6.647 6.013 6.592 6.268 6.647 ns
4mA GCLK
tco 3.562 3.845 5.678 5.894 6.468 6.301 6.680 6.046 6.621 6.301 6.680 ns
PLL
DIFFERENTIAL GCLK tco 3.548 3.831 5.665 5.881 6.455 6.288 6.667 6.032 6.608 6.288 6.667 ns
1.5-V 6mA GCLK
SSTL CLASS I tco 3.544 3.827 5.663 5.881 6.456 6.289 6.668 6.032 6.610 6.289 6.668 ns
PLL
GCLK tco 3.560 3.842 5.664 5.878 6.450 6.283 6.662 6.029 6.603 6.283 6.662 ns
8mA GCLK
tco 3.549 3.832 5.660 5.874 6.447 6.280 6.659 6.026 6.600 6.280 6.659 ns
PLL
Parameter
Fast Model C2 C3 C4 C4L I3 I4 I4L
Strength
Current
I/O Standard Clock Units
Industrial Commercial VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL =
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
GCLK tco 3.546 3.829 5.658 5.872 6.445 6.278 6.657 6.024 6.599 6.278 6.657 ns
4mA GCLK
tco 3.557 3.839 5.659 5.873 6.444 6.277 6.656 6.023 6.597 6.277 6.656 ns
PLL
GCLK tco 3.547 3.830 5.657 5.871 6.443 6.276 6.655 6.023 6.597 6.276 6.655 ns
6mA GCLK
tco 3.533 3.816 5.642 5.856 6.429 6.262 6.641 6.008 6.582 6.262 6.641 ns
PLL
DIFFERENTIAL GCLK tco 3.530 3.812 5.638 5.852 6.425 6.258 6.637 6.004 6.578 6.258 6.637 ns
1.8-V 8mA GCLK
SSTL CLASS I tco 3.527 3.810 5.639 5.855 6.428 6.261 6.640 6.007 6.582 6.261 6.640 ns
PLL
GCLK tco 3.528 3.810 5.629 5.843 6.415 6.248 6.627 5.994 6.568 6.248 6.627 ns
10mA GCLK
tco 3.577 3.863 5.700 5.916 6.491 6.324 6.703 6.068 6.644 6.324 6.703 ns
PLL
GCLK tco 3.553 3.839 5.682 5.899 6.474 6.307 6.686 6.051 6.629 6.307 6.686 ns
12mA GCLK
tco 3.535 3.820 5.660 5.877 6.452 6.285 6.664 6.029 6.607 6.285 6.664 ns
PLL
GCLK tco 3.581 3.866 5.700 5.916 6.490 6.323 6.702 6.068 6.644 6.323 6.702 ns
8mA GCLK
DIFFERENTIAL tco 3.566 3.851 5.686 5.901 6.475 6.308 6.687 6.053 6.629 6.308 6.687 ns
PLL
1.8-V
SSTL CLASS II GCLK tco 3.555 3.840 5.681 5.898 6.472 6.305 6.684 6.050 6.627 6.305 6.684 ns
16mA GCLK
tco 3.535 3.820 5.658 5.874 6.449 6.282 6.661 6.027 6.603 6.282 6.661 ns
PLL
GCLK tco 3.532 3.816 5.654 5.871 6.445 6.278 6.657 6.023 6.600 6.278 6.657 ns
8mA GCLK
DIFFERENTIAL tco 3.537 3.820 5.645 5.859 6.431 6.264 6.643 6.010 6.584 6.264 6.643 ns
PLL
2.5-V
SSTL CLASS I GCLK tco 3.530 3.813 5.644 5.860 6.434 6.267 6.646 6.013 6.589 6.267 6.646 ns
12mA GCLK
tco 3.568 3.852 5.682 5.897 6.470 6.303 6.682 6.049 6.624 6.303 6.682 ns
PLL
DIFFERENTIAL GCLK tco 3.550 3.835 5.667 5.882 6.455 6.288 6.667 6.034 6.609 6.288 6.667 ns
2.5-V 16mA GCLK
SSTL CLASS II tco 3.536 3.819 5.644 5.858 6.430 6.263 6.642 6.010 6.584 6.263 6.642 ns
PLL
Table 1–139 and Table 1–140 list the EP3SE260 regional clock (RCLK) adder values
that must be added to the GCLK values. Use these adder values to determine I/O
timing when the I/O pin is driven using the regional clock. This applies to all I/O
standards supported by Stratix III devices.
Table 1–139 lists the EP3SE260 column pin delay adders when using the regional
clock.
Table 1–139. EP3SE260 Column Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.233 0.311 0.488 0.489 0.45 0.439 0.515 0.416 0.458 0.439 0.515 ns
RCLK PLL input adder -0.036 0.028 0.059 0.06 0.113 0.11 -0.005 -0.038 0.121 0.11 -0.005 ns
RCLK output adder -0.204 -0.237 -0.334 -0.331 -0.413 -0.405 -0.44 -0.32 -0.371 -0.405 -0.44 ns
RCLK PLL output adder 1.899 1.965 3.193 3.323 3.677 3.512 3.802 3.346 3.705 3.512 3.802 ns
Table 1–140 lists the EP3SE260 row pin delay adders when using the regional clock in
Stratix III devices.
Table 1–140. EP3SE260 Row Pin Delay Adders for Regional Clock
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL = VCCL= VCCL= VCCL = VCCL = VCCL= VCCL= VCCL=
Industrial Commercial
1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
RCLK input adder 0.244 0.293 0.438 0.412 0.471 0.427 0.577 0.421 0.452 0.427 0.577 ns
RCLK PLL input adder 0.124 0.134 0.21 0.215 0.234 0.228 0.297 0.217 0.239 0.228 0.297 ns
RCLK output adder -0.256 -0.289 -0.418 -0.424 -0.484 -0.438 -0.591 -0.443 -0.464 -0.438 -0.591 ns
RCLK PLL output adder -0.134 -0.147 -0.228 -0.233 -0.254 -0.261 -0.322 -0.236 -0.262 -0.261 -0.322 ns
Table 1–144 and Table 1–145 list the regional clock timing parameters for EP3SL50
devices.
Table 1–144. EP3SL50 Column Pin Regional Clock Timing Specifications (Part 1 of 2)
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tCIN 1.689 1.669 2.371 2.645 3.004 2.719 3.136 2.645 3.009 2.719 3.136 ns
tCOUT 1.689 1.669 2.371 2.645 3.004 2.719 3.136 2.645 3.009 2.719 3.136 ns
Table 1–144. EP3SL50 Column Pin Regional Clock Timing Specifications (Part 2 of 2)
Fast Model C2 C3 C4 C4L I3 I4 I4L
Parameter Units
VCCL = VCCL = VCCL = VCCL= VCCL= VCCL= VCCL= VCCL= VCCL=
Industrial Commercial 1.1 V 1.1 V 1.1 V 1.1 V 0.9 V 1.1 V 1.1 V 1.1 V 0.9 V
tPLLCIN -0.019 -0.025 -0.264 -0.315 -0.256 -0.236 -0.017 -0.315 0.224 -0.236 -0.017 ns
tPLLCOUT -0.019 -0.025 -0.264 -0.315 -0.256 -0.236 -0.017 -0.315 0.224 -0.236 -0.017 ns
Table 1–146 and Table 1–147 list the periphery clock timing parameters for EP3SL50
devices.
Table 1–150 and Table 1–151 list the regional clock timing parameters for EP3SL70
devices.
Table 1–152 and Table 1–153 list the periphery clock timing parameters for EP3SL70
devices.
Table 1–156 and Table 1–157 list the regional clock timing parameters for EP3SL110
devices.
Table 1–158 and Table 1–159 list the periphery clock timing parameters for EP3SL110
devices.
.
Table 1–162 and Table 1–163 list the regional clock timing parameters for EP3SL150
devices.
Table 1–164 and Table 1–165 list the periphery clock timing parameters for EP3SL150
devices.
Table 1–168 and Table 1–169 list the regional clock timing parameters for EP3SL200.
Table 1–170 and Table 1–171 list the periphery clock timing parameters for EP3SL200
devices.
Table 1–174 and Table 1–175 list the regional clock timing parameters for EP3SL340
devices.
Table 1–176 and Table 1–177 list the periphery clock timing parameters for EP3SL340
devices.
Table 1–180 and Table 1–181 list the regional clock timing parameters for EP3SE50
devices.
Table 1–182 and Table 1–183 list the periphery clock timing parameters for EP3SE50
devices.
Table 1–186 and Table 1–187 list the regional clock timing parameters for EP3SE80
devices.
Table 1–188 and Table 1–189 list the periphery clock timing parameters for EP3SE80
devices.
Table 1–192 and Table 1–193 list the regional clock timing parameters for EP3SE110
devices.
Table 1–194 and Table 1–195 list the periphery clock timing parameters for EP3SE110
devices.
Table 1–198 and Table 1–199 list the regional clock timing parameters for EP3SE260
devices.
Table 1–200 and Table 1–201 list the periphery clock timing parameters for EP3SE260
devices.
Glossary
The following table lists the glossary for this chapter.
Table 1.
Ground
Differential Waveform
VID
p−n=0V
VID
Differential I/O
Standards Transmitter Output Waveforms
Single-Ended Waveform
Ground
Differential Waveform
VOD
p−n=0V
VOD
E — —
F fH S C L K High-Speed I/O Block: High-speed receiver/transmitter input and output clock frequency.
High-Speed I/O Block: Maximum/minimum LVDS data transfer rate
fH S D R
(fH S D R = 1/TUI), non-DPA.
High-Speed I/O Block: Maximum/minimum LVDS data transfer rate
fH S D R D P A
(fH S D R D P A = 1/TUI), DPA.
G — —
H — —
I — —
Table 1.
TMS
TDI
TCK
TDO
K — —
L — —
M — —
N — —
O — —
P The block diagram shown in the following figure highlights the PLL Specification parameters:
Diagram of PLL Specifications (1)
CLKOUT Pins
Switchover
fOUT_EXT
CLK
fIN fINPFD
N
VCO
fVCO Counters fOUT GCLK
PFD CP LF
Core Clock C0..C9
PLL
Specifications
RCLK
Key
Note:
(1) CoreClock can only be fed by dedicated clock input pins or PLL outputs.
Q — —
R RL Receiver differential input discrete resistor (external to Stratix III device).
Table 1.
The JEDEC standard for SSTl and HSTL I/O standards defines both the AC and DC input
signal values. The AC values indicate the voltage levels at which the receiver must meet its
timing specifications. The DC values indicate the voltage levels at which the final logic state of
the receiver is unambiguously defined. After the receiver input has crossed the AC value, the
receiver changes to the new logic state.
The new logic state is maintained as long as the input stays beyond the DC threshold. This
approach is intended to provide predictable receiver timing in the presence of input waveform
ringing (The following figure):
Single-ended Single-Ended Voltage Referenced I/O Standard
Voltage VCCIO
Referenced I/O
Standard
VOH
VIH (AC )
VIH(DC)
VREF
VIL(DC)
VIL(AC )
VOL
VSS
Table 1.