VLSI Lab Record
VLSI Lab Record
VLSI Lab Record
, AP/ECE
LABORATORY MANUAL
P.SUNDARAVADIVEL., M.E.,
ASSISTANT PROFESSOR / ECE
Register No
Certified that
Staff in Charge
Internal Examiner
External Examiner
I- Design and simulation of Combinational Logic Circuit using VHDL 1. Adder 2. Multiplexer and Demultiplexer 3. Encoder and Decoder 4. Multiplier II- Design and simulation of Sequential logic circuit using VHDL 5. Flip Flops 6. Counter 7. Shift registers 8. Frequency Divider III- CMOS Circuit design using SPICE (DC and Transient Analysis) 9. CMOS Inverter 10. CMOS NAND and NOR Gates 11. CMOS D Latch IV- FPGA Implementation 12. 4 bit Adder
Advantages of VHDL: VHDL offers the following advantages for the digital design Standard Technology / Vendor independent Portability Modeling Capability Reusability Case Insensitive
Basic VHDL Statement Syntax: Library Entity Architecture Component Signal Variable Constant Simple When Selected When Process If statement Case Statement While loop For loop Wait statement
7. Click Next to proceed to the Create New Source window in the New Project Wizard. At the end of the next section, your new project will be created. Creating an HDL Source In this section, you will create a top-level HDL file for your design. Determine the language that you wish to use for the tutorial. Then, continue either to the Creating a VHDL Source section below.
This simple AND Gate design has two inputs: A and B. This design has one output called C 1. Click New Source in the New Project Wizard to add one new source to your project. 2. Select VHDL Module as the source type in the New Source dialog box. 3. Type in the file name andgate. 4. Verify that the Add to project checkbox is selected. 5. Click Next. 6. Define the ports for your VHDL source. In the Port Name column, type the port names on three separate rows: A, B and C. In the Direction column, indicate whether each port is an input, output, or inout. For A and B, select in from the list. For C, select out from the list.
7. Click Next in the Define VHDL Source dialog box. 8. Click Finish in the New Source Information dialog box to complete the new source file template. 9. Click Next in the New Project Wizard. 10. Click Next again. 11. Click Finish in the New Project Information dialog box.ISE creates and displays the new project in the Sources in Project window and adds the andgate.vhd file to the project.
070290076 - VLSI DESIGN LAB
13. In the header section, fill in the following fields: Design Name : andgate.vhd Project Name : andgate Target Device : xcr3128xl- TQ144 Description : This is the top level HDL file for an up/down counter. Dependencies : None Note: It is good design practice to fill in the header section in all source files. 14. Below the end process statement, enter the following line: C <= A and B; 15. Save the file by selecting File -> Save.
Check the Syntax of New Counter Module. When the source files are complete, the next step is to check the syntax of the design. Syntax errors and typos can be found using this step.
1. Select the counter design source in the ISE Sources window to display the related processes in the Processes for Source window. 2. Click the + next to the Synthesize-XST process to expand the hierarchy. 3. Double-click the Check Syntax process. When an ISE process completes, you will see a status indicator next to the process name. If the process completed successfully, a green check mark appears. If there were errors and the process failed, a red X appears. A yellow exclamation point means that the process completed successfully, but some warnings occurred. An orange question mark means the process is out of date and should be run again. 4. Look in the Console tab of the Transcript window and read the output and status messages produced by any process that you run. Caution! You must correct any errors found in your source files. If you continue without valid syntax, you will not be able to simulate or synthesize your design.
10
2. Right Click a to open a context menu. 3. Select Force or Clock to add the signal.
11
5. Run the simulation by clicking the Run icon in the Main or Wave window toolbar
7. Click the Run -All icon on the Main or Wave window toolbar. The simulation continues running until you execute a break command. 8. Click the Break icon. The simulation stops running.
12
1. Double-click the Assign Package Pins process found in the User Constraints process group. ISE runs the Synthesis and Translate steps and automatically creates a User Constraints File (UCF). You will be prompted with the following message:
13
5. Select File _ Save. You are prompted to select the bus delimiter type based on the synthesis tool you are using. Select XST Default <> and click OK. 6. Close PACE. Creating Configuration Data The final phase in the software flow is to generate a program file and configure the device. Generating a Program File The Program File is a encoded file that is the equivalent of the design in a form that can be downloaded into the CPLD device. 1. Double Click the Generate Programming File process located near the bottom of the Processes for Source window. The Program File is created. It is written into a file called andgate.jed. This is the actual configuration data.
070290076 - VLSI DESIGN LAB
14
Configuring the Device iMPACT is used to configure your FPGA or CPLD device. This is the last step in the design process. This section provides simple instructions for configuring a Spartan-3 xc3s200 device connected to your PC. Note: Your board must be connected to your PC before proceeding. If the device on your board does not match the device assigned to the project, you will get errors. Please refer to the iMPACT Help for more information. To access the help, select Help > Help Topics. To configure the device: 1. Click the + sign to expand the Generate Programming File processes.
2. Double-click the Configure Device (iMPACT) process. iMPACT opens and the Configure devices dialog box is displayed. 3. In the Configure Devices dialog box, verify that Boundary-Scan Mode is selected and click Next.
15
5. If you get a message saying that there was one device found, click OK to continue.
6. The iMPACT will now show the detected device, right click the device and select New Configuration File.
7. The Assign New Configuration File dialog box appears. Assign a configuration file to each device in the JTAG chain. Select the andgate.jed file and click Open. 8. Right-click on the counter device image, and select Program... to open the Program Options dialog box. 9. Click OK to program the device. ISE programs the device and displays Programming Succeeded if the operation was successful. 10. Close iMPACT without saving.
16
REQUIREMENT: 1. A PC with good configuration 2. ModelSim55eSE (EDA tool from Mentor Graphics) for simulation. PROCEDURE: 1. Click the ModelSim SE 5.5e icon to start the simulation of VHDL code. 2. Select create a project options given on the welcome screen in order to create a new project otherwise choose open a project to open the existing project. 3. Proper project name should be given along with the location to save the project in the create project window. 4. In the main window go to file window. 5. Enter the VHDL source code on that source editor window and save with the extension .vhd in the project (project created) folder and location specified previously. 6. Select file compile in the source editor window for compiling the written code. If there is New Source VHDL to get in to the source editor
an error debug the error, save and compile again. 7. Load the design by selecting Design compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit the signals selected. 10. Select view wave signals in design to view the response of the design (Wave form) force / clock for applying the appropriate input levels for load design in the main window after successful
with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
17
TRUTH TABLE:
INPUT A 0 0 1 1 B 0 1 0 1 SUM 0 1 1 0
OUTPUT CARRY 0 0 0 1
18
library ieee; use ieee.std_logic_1164.all; -------------------------------------entity HA is port (a,b:in std_logic ; s,c:out std_logic); end HA; --------------------------------------architecture arc_HA of HA is begin s<=a xor b; c<=a and b; end arc_HA;
19
EXPRESSION: S = (A xor B) xor Ci COUT = (A and B) or (Ci and (A xor B)) TRUTH TABLE: INPUT X 0 0 0 0 1 1 1 1 Y 0 0 1 1 0 0 1 1 Z 0 1 0 1 0 1 0 1 OUTPUT S 0 1 1 0 1 0 0 1 COUT 0 0 0 1 0 1 1 1
20
Library ieee; use ieee.std_logic_1164.all; ---------------------------------------------entity FA is port(x,y,z:in std_logic; s,cout:out std_logic); end FA; ---------------------------------------------architecture arc_FA of FA is component HA port(a,b:in std_logic; s,c:out std_logic); end component; component vor port(a,b:in std_logic; c:out std_logic); end component; signal s0,s1,s2:std_logic; begin P1: HA port map (x,y,s0,s1); p2: HA port map (s0,z,s,s2); p3: vor port map (s1,s2,cout); end arc_FA;
21
RESULT: Thus the VHDL code for the half adder and full adder circuit was simulated and verified with the truth table.
070290076 - VLSI DESIGN LAB
22
REQUIREMENT: 1. A PC with good configuration 2. ModelSim55eSE (EDA tool from Mentor Graphics) for simulation. PROCEDURE: 1. Click the ModelSim SE 5.5e icon to start the simulation of VHDL code. 2. Select create a project options given on the welcome screen in order to create a new project otherwise choose open a project to open the existing project. 3. Proper project name should be given along with the location to save the project in the create project window. 4. In the main window go to file window. 5. Enter the VHDL source code on that source editor window and save with the extension .vhd in the project (project created) folder and location specified previously. 6. Select file compile in the source editor window for compiling the written code. If there New Source VHDL to get in to the source editor
is an error debug the error, save and compile again. 7. Load the design by selecting Design compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit for the signals selected. 10. Select view wave signals in design to view the response of the design (Wave form) force / clock for applying the appropriate input levels load design in the main window after successful
with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
23
TRUTH TABLE:
INPUT S0 0 0 1 1 S1 0 1 0 1
OUTPUT Y D0 D1 D2 D3
24
25
TRUTH TABLE:
ENABLE E 1 1 1 1
INPUT S0 0 0 1 1 S1 0 1 0 1 D0 1 0 0 0
OUTPUT D1 0 1 0 0 D2 0 0 1 0 D3 0 0 0 1
26
27
RESULT: Thus the VHDL code for the 4:1 Multiplexer and 1:4 Demultiplexer circuits was simulated and verified with the truth table.
070290076 - VLSI DESIGN LAB
28
an error debug the error, save and compile again. 7. Load the design by selecting Design compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit the signals selected. 10. Select view wave signals in design to view the response of the design (Wave form) force / clock for applying the appropriate input levels for load design in the main window after successful
with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
29
TABLE TRUTH:
ENABLE E 0 1 1 1 1 1 1 1 1 D0 0 1 0 0 0 0 0 0 0 D1 0 0 1 0 0 0 0 0 0 D2 0 0 0 1 0 0 0 0 0
INPUT D3 0 0 0 0 1 0 0 0 0 D4 0 0 0 0 0 1 0 0 0 D5 0 0 0 0 0 0 1 0 0 D6 0 0 0 0 0 0 0 1 0 D7 0 0 0 0 0 0 0 0 1 A X 0 0 0 0 1 1 1 1
OUTPUT B X 0 0 1 1 0 0 1 1 C X 0 1 0 1 0 1 0 1
30
31
32
Design name: Decoder(3:8)_Behavorial model library ieee; use ieee.std_logic_1164.all; ----------------------------------------------------------------------------------entity DEC_behav is port(dec:in std_logic_vector(0 to 2); e:in std_logic; y:out std_logic_vector(0 to 7)); end dec_behav; ----------------------------------------------------------------------------------architecture arc_dec_behav of dec_behav is begin process(dec,e) begin if(e='0') then y<="ZZZZZZZZ"; else case dec is when "000"=>y<="00000001"; when "001"=>y<="00000010"; when "010"=>y<="00000100"; when "011"=>y<="00001000"; when "100"=>y<="00010000"; when "101"=>y<="00100000"; when "110"=>y<="01000000"; when "111"=>y<="10000000"; when others =>y<="ZZZZZZZZ"; end case; end if; end process; end arc_dec_behav;
33
RESULT: Thus the VHDL code for the 8:3 Encoder and 3:8 Decoder circuits was simulated and verified with the truth table.
070290076 - VLSI DESIGN LAB
34
an error debug the error, save and compile again. 7. Load the design by selecting Design compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit the signals selected. 10. Select view wave signals in design to view the response of the design (Wave form) force / clock for applying the appropriate input levels for load design in the main window after successful
with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
35
36
37
RESULT: Thus the VHDL code for the 3x3 Array Multiplier circuit was simulated and verified.
38
REQUIREMENT: 1. A PC with good configuration 2. ModelSim55eSE (EDA tool from Mentor Graphics) for simulation. PROCEDURE: 1. Click the ModelSim SE 5.5e icon to start the simulation of VHDL code. 2. Select create a project options given on the welcome screen in order to create a new project otherwise choose open a project to open the existing project. 3. Proper project name should be given along with the location to save the project in the create project window. 4. In the main window go to file window. 5. Enter the VHDL source code on that source editor window and save with the extension .vhd in the project (project created) folder and location specified previously. 6. Select file compile in the source editor window for compiling the written code. If there New Source VHDL to get in to the source editor
is an error debug the error, save and compile again. 7. Load the design by selecting Design compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit for the signals selected. 10. Select view wave signals in design to view the response of the design (Wave form) force / clock for applying the appropriate input levels load design in the main window after successful
with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
39
TRUTH TABLE:
OUTPUT Q1 X Q1 0 1 INVALID
40
41
TRUTH TABLE:
INPUT CLOCK 0 1 1 1 1 J 0 0 0 1 1 K 0 0 1 0 1 Q0 X Q0 0 1 Q1
OUTPUT Q1 X Q1 1 0 Q0
42
43
TRUTH TABLE:
INPUT CLOCK 0 1 1 D 0 0 1 Q0 0 0 1
OUTPUT Q1 0 1 0
44
45
TRUTH TABLE:
INPUT CLOCK 0 1 1 T 0 0 1 Q0 0 1 0
OUTPUT Q1 0 0 1
46
47
RESULT: Thus the VHDL code for the RS, JK, D, and T Flip-flops was simulated and verified with the truth table.
48
REQUIREMENT: 1. A PC with good configuration 2. ModelSim55eSE (EDA tool from Mentor Graphics) for simulation. PROCEDURE: 1. Click the ModelSim SE 5.5e icon to start the simulation of VHDL code. 2. Select create a project options given on the welcome screen in order to create a new project otherwise choose open a project to open the existing project. 3. Proper project name should be given along with the location to save the project in the create project window. 4. In the main window go to file window. 5. Enter the VHDL source code on that source editor window and save with the extension .vhd in the project (project created) folder and location specified previously. 6. Select file compile in the source editor window for compiling the written code. If there New Source VHDL to get in to the source editor
is an error debug the error, save and compile again. 7. Load the design by selecting Design compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit for the signals selected. 10. Select view wave signals in design to view the response of the design (Wave form) force / clock for applying the appropriate input levels load design in the main window after successful
with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
DIAGRAM:
070290076 - VLSI DESIGN LAB
49
TRUTH TABLE:
CLOCK CLK 0 1 2 3 4 5 6 7 8 9 10 A 0 0 0 0 0 0 0 0 0 1 1
INPUT B 0 0 0 0 0 1 1 1 1 0 0 C 0 0 0 1 1 0 0 1 1 0 0 D 0 0 1 0 1 0 1 0 1 0 1 D0 0 0 0 0 0 0 0 0 1 1 0
OUTPUT D1 0 0 0 0 1 1 1 1 0 0 0 D2 0 0 1 1 0 0 1 1 0 0 0 D3 0 1 0 1 0 1 0 1 0 1 0
50
51
CLOCK CLK 0 1 2 3 4 5 6 7 8 A 0 0 0 0 0 1 1 1 1
INPUT B 0 0 0 1 1 0 0 1 1 C 0 0 1 0 1 0 1 0 1 D1 0 0 0 0 1 1 1 1 0
OUTPUT D2 0 0 1 1 0 0 1 1 0 D3 0 1 0 1 0 1 0 1 0
52
Design name: 3-bit up Counter_Behavioural model library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -----------------------------------------------------entity up_counter is port(Clk, Set : in std_logic; Q : out std_logic_vector(2 downto 0)); end up_counter; ------------------------------------------------------architecture archi_up_counter of up_counter is signal tmp: std_logic_vector(2 downto 0); begin process (Clk) begin if (Clk'event and Clk='1') then if (Set='1') then tmp <= "000"; else tmp <= tmp + 1; end if; end if; end process; Q <= tmp; end archi_up_counter;
53
CLOCK CLK 0 1 2 3 4 5 6 7 8 A 0 0 0 0 0 1 1 1 1
INPUT B 0 0 0 1 1 0 0 1 1 C 0 0 1 0 1 0 1 0 1 D1 0 1 1 1 1 0 0 0 0
OUTPUT D2 0 1 1 0 0 1 1 0 0 D3 0 1 0 1 0 1 0 1 0
54
55
RESULT: Thus the VHDL code for the counter (BCD, 3-Bit UP & DOWN) was simulated and verified with the truth table.
56
REQUIREMENT: 1. A PC with good configuration 2. ModelSim5.5eSE (EDA tool from Mentor Graphics) for simulation. PROCEDURE: 1. Click the ModelSim SE 5.5e icon to start the simulation of VHDL code. 2. Select create a project options given on the welcome screen in order to create a new project otherwise choose open a project to open the existing project. 3. Proper project name should be given along with the location to save the project in the create project window. 4. In the main window go to file editor window. 5. Enter the VHDL source code on that source editor window and save with the extension .vhd in the project (project created) folder and location specified previously. 6. Select file compile in the source editor window for compiling the written code. If New Source VHDL to get in to the source
there is an error debug the error, save and compile again. 7. Load the design by selecting Design successful compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit levels for the signals selected. 10. Select view wave signals in design to view the response of the design (Wave force / clock for applying the appropriate input load design in the main window after
form) with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
57
58
59
TRUTH TABLE:
INPUT CLOCK D0 1 2 1 1 D1 0 1 D2 1 1 D3 0 1 Q0 1 1
OUTPUT Q1 0 1 Q2 1 1 Q3 0 1
60
61
TRUTH TABLE:
62
library ieee; use ieee.std_logic_1164.all; ---------------------------------------------------------------------------entity piso is port(clk,load:in std_logic; d:in std_logic_vector(3 downto 0); dout:out std_logic); end piso; ---------------------------------------------------------------------------architecture arc_piso of piso is signal reg:std_logic_vector(3 downto 0); begin process(clk) begin if(clk'event and clk='1')then if(load='1')then reg<=d; else reg<=reg(2 downto 0)&'0'; end if; end if; end process; dout<=reg(3); end arc_piso;
63
TRUTH TABLE:
64
library ieee; use ieee.std_logic_1164.all; -------------------------------------------------------------------------entity sipo is port(d,rst,clk:in std_logic; dout:inout std_logic_vector(3 downto 0)); end sipo; ------------------------------------------------------------------------architecture arc_sipo of sipo is component dff port(clk,reset,d:in std_logic; q:out std_logic); end component; begin c1:dff port map(clk,rst,d,dout(0)); c2:dff port map(clk,rst,dout(0),dout(1)); c3:dff port map(clk,rst,dout(1),dout(2)); c4: dff port map(clk,rst,dout(2),dout(3)); end arc_sipo;
65
RESULT: Thus the VHDL code for the Shift Registers (SISO, SIPO, PIPO and PISO) circuits was simulated and verified.
070290076 - VLSI DESIGN LAB
66
REQUIREMENT: 1. A PC with good configuration 2. ModelSim5.5eSE (EDA tool from Mentor Graphics) for simulation. PROCEDURE: 1. 2. Click the ModelSim SE 5.5e icon to start the simulation of VHDL code. Select create a project options given on the welcome screen in order to create a new project otherwise choose open a project to open the existing project. 3. Proper project name should be given along with the location to save the project in the create project window. 4. In the main window go to file editor window. 5. Enter the VHDL source code on that source editor window and save with the extension .vhd in the project (project created) folder and location specified previously. 6. Select file compile in the source editor window for compiling the written code. New Source VHDL to get in to the source
If there is an error debug the error, save and compile again. 7. Load the design by selecting Design successful compilation of the VHDL codes. 8. 9. Select signals from the view menu of the main window for selecting the signals. In signal window, choose edit levels for the signals selected. 10. Select view wave signals in design to view the response of the design (Wave force / clock for applying the appropriate input load design in the main window after
form) with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
67
68
RESULT: Thus the VHDL code for frequency divider was simulated and verified.
69
REQUIREMENT: 1. A PC with good configuration 2. ModelSim5.5eSE (EDA tool from Mentor Graphics) for simulation. 3. Xilinx 8.1 for synthesis PROCEDURE: 1. Click the ModelSim SE 5.5e icon to start the simulation of VHDL code. 2. Select create a project options given on the welcome screen in order to create a new project otherwise choose open a project to open the existing project. 3. Proper project name should be given along with the location to save the project in the create project window. 4. In the main window go to file window. 5. Enter the VHDL source code on that source editor window and save with the extension .vhd in the project (project created) folder and location specified previously. 6. Select file compile in the source editor window for compiling the written code. If there New Source VHDL to get in to the source editor
is an error debug the error, save and compile again. 7. Load the design by selecting Design compilation of the VHDL codes. 8. Select signals from the view menu of the main window for selecting the signals. 9. In signal window, choose edit for the signals selected. 10. Select view wave signals in design to view the response of the design (Wave form) force / clock for applying the appropriate input levels load design in the main window after successful
with the help of run option from the signal window. 11. Continue the simulation for different input levels with the procedure stated above.
70
DIAGRAM:
71
72
RESULT: Thus the VHDL code for the 4 bit adder circuit was simulated and implemented using Xilinx ISE 8.1 tool.
070290076 - VLSI DESIGN LAB
73
PROCEDURE: 1. Choose ORCAD capture icon from the program window. 2. In file, select new and then project. 3. Enter the name of the project, create the new project using A/D or mixed modeling and specify the location. 4. Create PSPICE project as a blank project. 5. In the schematic window click on the right most corners to select the tools. 6. Select the place part tool to select the components that are specified circuit diagram. 7. Place the components on the schematic page as given in the circuit diagram. 8. Select place wire tool to connect the components as per the circuit diagram. 9. Place the junctions where ever it is necessary. 10. Select the place ground tool to place ground as needed in the circuit diagram. 11. Select the voltage / level marker from the tool bar and place as per the requirement and save the project. 12. Choose new simulation profile and name the simulation profile. 13. Select edit simulation settings from the tool bar and make the necessary simulation settings. FOR DC ANALYSIS: (i). In the Simulation settings window choose DC sweep from the Analysis type drop Down menu. (ii). Select Voltage source in sweep variable option and also select the Name of the Source with reference to the circuit design. (iii) Select the sweep type as linear and mention the start, end and increment Values as per the design and analysis needs.
74
CIRCUIT DIAGRAM:
5.000V 5Vdc
V1
0
4.699V
V
M3
0
MbreakND 0V
75
RESULT: Thus the CMOS inverter circuit using PSPICE was designed and the DC and Transient analysis were performed.
070290076 - VLSI DESIGN LAB
76
PROCEDURE: 1. Choose ORCAD capture icon from the program window. 2. In file, select new and then project. 3. Enter the name of the project, create the new project using A/D or mixed modeling and specify the location. 4. Create PSPICE project as a blank project. 5. In the schematic window click on the right most corners to select the tools. 6. Select the place part tool to select the components that are specified circuit diagram. 7. Place the components on the schematic page as given in the circuit diagram. 8. Select place wire tool to connect the components as per the circuit diagram. 9. Place the junctions where ever it is necessary. 10. Select the place ground tool to place ground as needed in the circuit diagram. 11. Select the voltage / level marker from the tool bar and place as per the requirement and save the project. 12. Choose new simulation profile and name the simulation profile. 13. Select edit simulation settings from the tool bar and make the necessary simulation settings. FOR DC ANALYSIS: (i). In the Simulation settings window choose DC sweep from the Analysis type drop Down menu. (ii). Select Voltage source in sweep variable option and also select the Name of the Source with reference to the circuit design. (iii) Select the sweep type as linear and mention the start, end and increment Values as per the design and analysis needs.
77
5.000V 5Vdc
V1
0
MbreakP V1 = 0 V2 = 5 TD = 0 TR = 5ns TF = 5ns PW = .5m PER = 1m M3
V
V2
0V
0V
0 0
V1
0V M3 5.000V
V1 = 0 V2 = 5 TD = 0 TR = 5n TF = 5n PW = .5m PER = 1m
V4
V
MbreakN
0V
M2
78
RESULT: Thus the CMOS NAND and NOR circuit using PSPICE was designed and the DC and Transient analysis were performed.
070290076 - VLSI DESIGN LAB
79
PROCEDURE: 1. Choose ORCAD capture icon from the program window. 2. In file, select new and then project. 3. Enter the name of the project, create the new project using A/D or mixed modeling and specify the location. 4. Create PSPICE project as a blank project. 5. In the schematic window click on the right most corners to select the tools. 6. Select the place part tool to select the components that are specified circuit diagram. 7. Place the components on the schematic page as given in the circuit diagram. 8. Select place wire tool to connect the components as per the circuit diagram. 9. Place the junctions where ever it is necessary. 10. Select the place ground tool to place ground as needed in the circuit diagram. 11. Select the voltage / level marker from the tool bar and place as per the requirement and save the project. 12. Choose new simulation profile and name the simulation profile. 13. Select edit simulation settings from the tool bar and make the necessary simulation settings. FOR DC ANALYSIS: (i). In the Simulation settings window choose DC sweep from the Analysis type drop Down menu. (ii). Select Voltage source in sweep variable option and also select the Name of the Source with reference to the circuit design. (iii) Select the sweep type as linear and mention the start, end and increment Values as per the design and analysis needs.
80
0
5Vdc
MbreakP M8 MbreakP M9
V
12.55nV
M1 MbreakN
0V
M3 MbreakN
0V
M4 MbreakN
V3
0
0V MbreakP M10 M5 MbreakN 5.000V
81
RESULT: Thus the CMOS D Latch circuit using PSPICE was designed and the DC and Transient analysis were performed.
070290076 - VLSI DESIGN LAB
82