tshell_user
tshell_user
This Documentation contains trade secrets or otherwise confidential information owned by Siemens Industry Software Inc. or
its affiliates (collectively, “Siemens”), or its licensors. Access to and use of this Documentation is strictly limited as set forth in
Customer’s applicable agreement(s) with Siemens. This Documentation may not be copied, distributed, or otherwise disclosed
by Customer without the express written permission of Siemens, and may not be used in any way not expressly authorized by
Siemens.
This Documentation is for information and instruction purposes. Siemens reserves the right to make changes in specifications
and other information contained in this Documentation without prior notice, and the reader should, in all cases, consult
Siemens to determine whether any changes have been made.
No representation or other affirmation of fact contained in this Documentation shall be deemed to be a warranty or give rise to
any liability of Siemens whatsoever.
If you have a signed license agreement with Siemens for the product with which this Documentation will be used, your use of
this Documentation is subject to the scope of license and the software protection and security provisions of that agreement. If
you do not have such a signed license agreement, your use is subject to the Siemens Universal Customer Agreement, which
may be viewed at https://www.sw.siemens.com/en-US/sw-terms/base/uca/, as supplemented by the product specific terms
which may be viewed at https://www.sw.siemens.com/en-US/sw-terms/supplements/.
SIEMENS MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS DOCUMENTATION INCLUDING, BUT NOT
LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE, AND
NON-INFRINGEMENT OF INTELLECTUAL PROPERTY. SIEMENS SHALL NOT BE LIABLE FOR ANY DIRECT, INDIRECT,
INCIDENTAL, CONSEQUENTIAL OR PUNITIVE DAMAGES, LOST DATA OR PROFITS, EVEN IF SUCH DAMAGES WERE
FORESEEABLE, ARISING OUT OF OR RELATED TO THIS DOCUMENTATION OR THE INFORMATION CONTAINED IN IT,
EVEN IF SIEMENS HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES.
TRADEMARKS: The trademarks, logos, and service marks (collectively, "Marks") used herein are the property of Siemens
or other parties. No one is permitted to use these Marks without the prior written consent of Siemens or the owner of the
Marks, as applicable. The use herein of third party Marks is not an attempt to indicate Siemens as a source of a product, but
is intended to indicate a product from, or associated with, a particular third party. A list of Siemens' Marks may be viewed at:
www.plm.automation.siemens.com/global/en/legal/trademarks.html. The registered trademark Linux® is used pursuant to a
sublicense from LMI, the exclusive licensee of Linus Torvalds, owner of the mark on a world-wide basis.
Siemens Digital Industries Software is a global leader in the growing field of product lifecycle management (PLM),
manufacturing operations management (MOM), and electronic design automation (EDA) software, hardware,
and services. Siemens works with more than 100,000 customers, leading the digitalization of their planning and
manufacturing processes. At Siemens Digital Industries Software, we blur the boundaries between industry
domains by integrating the virtual and physical, hardware and software, design and manufacturing worlds.
With the rapid pace of innovation, digitalization is no longer tomorrow’s idea. We take what the future promises
tomorrow and make it real for our customers today. Where today meets tomorrow. Our culture encourages
creativity, welcomes fresh thinking and focuses on growth, so our people, our business, and our customers can
achieve their full potential.
Support Center: support.sw.siemens.com
Send Feedback on Documentation: support.sw.siemens.com/doc_feedback_form
iii
Author: In-house procedures and working practices require multiple authors for documents. All associated
authors for each topic within this document are tracked within the Siemens documentation source. For
specific topic authors, contact the Siemens Digital Industries Software documentation department.
Revision History: Released documents include a revision history of up to four revisions. For earlier
revision history, refer to earlier releases of documentation on Support Center.
Table of Contents
Chapter 1
Tessent Shell Introduction...........................................................................................27
What Is Tessent Shell?........................................................................................................................ 27
What Can You Do With Tessent Shell?...............................................................................................28
Tessent Shell Tcl Interface................................................................................................................... 29
Command Conventions.....................................................................................................................29
Command Completion...................................................................................................................... 30
Command History............................................................................................................................. 31
Escaped Hierarchical Names in Command Tcl Lists........................................................................32
Dofile Transcription........................................................................................................................... 32
Tcl Command Registration................................................................................................................33
Chapter 2
Tool Invocation, Contexts, Modes, and Data Models............................................... 35
Tool Invocation..................................................................................................................................... 35
Contexts and System Modes...............................................................................................................37
Contexts............................................................................................................................................ 37
System Modes.................................................................................................................................. 39
Context and System Mode Combinations........................................................................................ 39
Design Levels....................................................................................................................................40
Design Data Models.............................................................................................................................41
Flat Design Data Model.................................................................................................................... 41
Hierarchical Design Data Model....................................................................................................... 41
ICL Data Model.................................................................................................................................42
Object Attributes................................................................................................................................43
Chapter 3
Design Introspection and Editing............................................................................... 45
Design Introspection.............................................................................................................................46
Object Specification Format..............................................................................................................46
Collections......................................................................................................................................... 46
Design Introspection Examples........................................................................................................ 49
Design Introspection Command Summary....................................................................................... 53
Bundle Object Introspection..............................................................................................................56
Bundle Object................................................................................................................................ 56
Bundle Object Data Model............................................................................................................ 61
Introspection...................................................................................................................................63
Bundle Object Introspection Examples......................................................................................... 67
Fan-in and Fanout Tracing of Complex Signals and Bundle Object Tracing................................ 70
Connectivity Through Complex Signals........................................................................................ 73
Design Editing...................................................................................................................................... 77
Design Editing Command Summary................................................................................................ 78
Editing Complex Signals................................................................................................................... 80
Editing Complex Signals With the DftSpecification Wrapper........................................................ 80
Chapter 4
DFT Architecture Guidelines for Hierarchical Designs.......................................... 125
Hierarchical DFT Overview................................................................................................................ 126
Physical Layout Regions in Hierarchical Test................................................................................ 126
Pattern Retargeting......................................................................................................................... 126
Wrapped Cores and Wrapper Cells............................................................................................... 127
Internal Mode and External Mode.................................................................................................. 127
On-Chip Clock Controller................................................................................................................ 129
Graybox Model................................................................................................................................130
Top-Down Planning Before Bottom-Up Implementation.................................................................... 132
Clocking Architecture...................................................................................................................... 132
Resource Availability....................................................................................................................... 133
Test Scheduling...............................................................................................................................133
Specific Tasks That May Require Planning....................................................................................136
Sample DFT Planning Steps.......................................................................................................... 136
DFT Implementation Strategy............................................................................................................ 137
Chapter 5
RTL DFT Analysis and Insertion...............................................................................141
RTL DFT Analysis in the Overall Flow.............................................................................................. 141
RTL Design Rule Checks.................................................................................................................. 142
RTL IP Insertion................................................................................................................................. 143
RTL Design Assessment....................................................................................................................146
RTL Code Complexity Score.......................................................................................................... 146
RTL Test Point Insertion Suitability Score...................................................................................... 147
Detailed Metrics.............................................................................................................................. 148
Gate Node Location Types............................................................................................................. 150
Preparations for RTL DFT Analysis................................................................................................... 153
Test Point Analysis at RTL.................................................................................................................154
Test Point Insertion at RTL................................................................................................................ 155
Specifying RTL Test Points With Tessent Shell Commands............................................................. 156
Observation Scan Type of Test Point................................................................................................ 157
Chapter 6
Tessent Shell Workflows........................................................................................... 189
Tessent Shell Flow for Flat Designs.................................................................................................. 190
Overview of the RTL and Scan DFT Insertion Flow...................................................................... 190
First DFT Insertion Pass: Performing MemoryBIST and Boundary Scan.......................................193
Second DFT Insertion Pass: EDT, Hybrid TK/LBIST, and OCC.....................................................198
Loading the Design......................................................................................................................199
Specifying and Verifying the DFT Requirements........................................................................ 201
Creating the DFT Specification................................................................................................... 204
Generating the EDT, Hybrid TK/LBIST, and OCC Hardware...................................................... 207
Extracting the ICL Module Description........................................................................................208
Generating ICL Patterns and Running Simulation...................................................................... 208
Performing Synthesis...................................................................................................................... 209
Performing Scan Chain Insertion (Flat Design)..............................................................................210
Performing ATPG Pattern Generation............................................................................................ 212
Simulating LBIST Faults................................................................................................................. 214
Considerations for Using Gate-Level Verilog Netlists.....................................................................216
Tessent Shell Flow for Hierarchical Designs..................................................................................... 217
Hierarchical DFT Terminology.........................................................................................................217
How the DFT Insertion Flow Applies to Hierarchical Designs........................................................219
RTL and Scan DFT Insertion Flow for Physical Blocks................................................................. 221
First DFT Insertion Pass: Performing Block-Level MemoryBIST................................................ 222
Second DFT Insertion Pass: Inserting Block-Level EDT and OCC.............................................224
Specifying and Verifying the DFT Requirements: DFT Signals for Wrapped Cores....................227
Performing Scan Chain Insertion: Wrapped Core.......................................................................229
Verifying the ICL Model............................................................................................................... 232
Performing ATPG Pattern Generation: Wrapped Core............................................................... 233
Running Recommended Validation Step for Pre-Layout Design Sign Off...................................238
RTL and Scan DFT Insertion Flow for the Top Chip......................................................................240
Top-Level DFT Insertion Example............................................................................................... 241
First DFT Insertion Pass: Performing Top-Level MemoryBIST and Boundary Scan................... 243
Second DFT Insertion Pass: Inserting Top-Level EDT and OCC............................................... 245
Top-Level Scan Chain Insertion Example................................................................................... 249
Top-Level ATPG Pattern Generation Example............................................................................250
Performing Top-Level ATPG Pattern Retargeting....................................................................... 251
RTL and Scan DFT Insertion Flow for Sub-Blocks........................................................................ 254
DFT Insertion Flow for the Sub-Block......................................................................................... 254
DFT Insertion Flow for the Next Parent Level............................................................................ 255
Chapter 7
Tessent Shell Automotive Workflow ....................................................................... 405
Introduction to Tessent Automotive....................................................................................................405
Test Case Overview and Objective....................................................................................................407
Core-Level DFT Insertion for Automotive.......................................................................................... 411
DFT Insertion Flow for the Processor Core Physical Block........................................................... 412
First DFT Insertion Pass: processor_core...................................................................................413
Second DFT Insertion Pass: processor_core............................................................................. 416
Test Point, X-Bounding, and Scan Insertion: processor_core.....................................................420
ATPG Pattern Generation: processor_core.................................................................................424
LogicBIST Fault Simulation: processor_core.............................................................................. 426
LogicBIST Pattern Generation: processor_core..........................................................................428
Interconnect Bridge/Open UDFM Generation: processor_core...................................................430
Cell-Neighborhood UDFM Generation: processor_core..............................................................432
Automotive-Grade ATPG Pattern Generation: processor_core...................................................435
Automotive-Grade Topoff ATPG Pattern Generation............................................................... 435
Automotive-Grade ATPG Pattern Generation Using Only UDFMs.......................................... 439
DFT Insertion Flow for the GPS Baseband Physical Block........................................................... 442
Chapter 8
TSDB Data Flow for the Tessent Shell Flow............................................................473
Core-Level or Flat TSDB Data Flow..................................................................................................473
Top-Level TSDB Data Flow............................................................................................................... 477
Chapter 9
Streaming Scan Network (SSN)................................................................................ 483
Tessent SSN Workflows ................................................................................................................... 484
Block-Level SSN Insertion and Verification.................................................................................... 486
First DFT Insertion Pass: Performing Block-Level MemoryBIST................................................ 488
Second DFT Insertion Pass: Inserting Block-Level EDT, OCC, and SSN...................................488
Synthesis for Block-Level Insertion............................................................................................. 494
Creating the Post-Synthesis TSDB View (Block-Level).............................................................. 495
Performing Scan Chain Insertion With Tessent Scan (Block-Level)........................................... 496
Verifying the ICL-Based Patterns After Synthesis (Block-Level)................................................. 499
Generating Block-Level ATPG Patterns...................................................................................... 501
Top-Level SSN Insertion and Verification....................................................................................... 507
First DFT Insertion Pass: Performing Top-Level MemoryBIST................................................... 509
Second DFT Insertion Pass: Inserting Top-Level EDT, OCC, and SSN......................................511
Synthesis for Top-Level Insertion................................................................................................ 519
Creating the Post-Synthesis TSDB View (Top-Level)................................................................. 519
Performing Scan Chain Insertion With Tessent Scan (Top-Level).............................................. 520
Verifying the ICL-Based Patterns After Synthesis (Top-Level)....................................................520
Generating Top-Level ATPG Patterns......................................................................................... 521
Retargeting ATPG Patterns ........................................................................................................522
Performing Reverse Failure Mapping for SSN Pattern Diagnosis.............................................. 525
Rule Checks Before Writing SSN and ATPG Patterns......................................................................530
The Incremental Extraction Process...............................................................................................531
Feedthrough Path Handling............................................................................................................ 533
Limitations for Incremental Extraction............................................................................................ 533
Chapter 10
Tessent Examples and Solutions............................................................................. 679
How to Handle Parameterized Blocks During DFT Insertion............................................................ 680
Problem........................................................................................................................................... 680
Solution............................................................................................................................................682
Creation of Parameterization Wrappers and Initial TSDBs for Typical Designs.......................... 684
Block Uniquification and Creation of Parameterization Wrappers and Initial TSDBs for Complex
Designs........................................................................................................................................ 685
Modifications to the First DFT Insertion Pass for Blocks............................................................ 687
Modifications to the Second DFT Insertion Pass for Blocks....................................................... 688
Synthesis for Physical Blocks......................................................................................................689
Creation of the Gate-Level TSDB for a Physical Block.............................................................. 689
Scan Insertion for Physical Blocks.............................................................................................. 690
Modifications to the First DFT Insertion Pass for Chips..............................................................691
Modifications to the Second DFT Insertion Pass for Chips........................................................ 691
Synthesis for the Chip Level....................................................................................................... 692
Discussion....................................................................................................................................... 693
Typical Scenario Without Uniquification...................................................................................... 694
Creation of the Parameterization Wrappers and Initial TSDBs................................................694
Synthesis for Physical Blocks Example................................................................................... 696
Synthesis for the Chip Level Example..................................................................................... 697
Creation of the Gate-Level TSDB for a Physical Block (Optional)...........................................698
Scan Insertion for a Physical Block......................................................................................... 698
Complex Scenario With Uniquification........................................................................................ 700
Block Uniquification and Creation of Parameterization Wrappers and Initial TSDBs............... 700
How to Avoid Simulation Issues When Using the Two-Pin Serial Port Controller............................. 702
How to Handle Clocks Sourced by Embedded PLLs During Logic Test........................................... 704
How to Design Capture Windows for Hybrid TK/LBIST.................................................................... 710
Chapter 11
Test Procedure File.................................................................................................... 745
Test Procedure File Creation............................................................................................................. 745
Test Procedure File Syntax................................................................................................................745
Test Procedure File Structure............................................................................................................ 749
#include Statement......................................................................................................................... 749
Set Statement................................................................................................................................. 749
Alias Definition................................................................................................................................ 752
Timing Variables..............................................................................................................................754
Timeplate Definition.........................................................................................................................757
Multiple-Pulse Clocks......................................................................................................................763
The pulse_clock Statement......................................................................................................... 763
Inferred Timing............................................................................................................................. 764
Differences Between Default add_clock and 1x Multiplier Clock................................................ 764
Always Block................................................................................................................................... 765
Procedure Definition........................................................................................................................766
Test Procedures................................................................................................................................. 777
Test_Setup (Optional)..................................................................................................................... 778
Shift (Required)............................................................................................................................... 780
Alternate Shift Procedure (Optional)...............................................................................................782
Load_Unload (Required).................................................................................................................784
Shadow_Control (Optional).............................................................................................................786
Master_Observe (Sometimes Required)........................................................................................ 788
Chapter 12
Tessent Visualizer.......................................................................................................831
Invoking Tessent Visualizer................................................................................................................832
Invoke Explicitly on the Same Machine..........................................................................................832
Invoke Explicitly on a Different Machine........................................................................................ 833
Invoke Implicitly...............................................................................................................................834
License-Protected Tabs...................................................................................................................835
Framework Overview......................................................................................................................... 836
Tessent Visualizer Components and Preferences............................................................................. 838
Tables.............................................................................................................................................. 839
Table Toolbar Features................................................................................................................ 839
Columns and Filters Editor.......................................................................................................... 841
Table Filters..................................................................................................................................842
Data Sorting................................................................................................................................. 845
Row Highlighting.......................................................................................................................... 846
Schematics...................................................................................................................................... 847
Toolbar..........................................................................................................................................848
Schematic Symbols..................................................................................................................... 851
Chapter 13
Timing Constraints (SDC)..........................................................................................935
Generating and Using SDC for Tessent Shell Embedded Test IP.....................................................935
SDC File Generation With Tessent Shell...........................................................................................935
SDC Design Synthesis With Tessent Shell....................................................................................... 938
Preparation Step 1: Sourcing SDC File..........................................................................................938
Preparation Step 2: Setting and Redefining Tessent Tcl Variables................................................ 938
Appendix A
The Tessent Tcl Interface ......................................................................................... 981
General Tcl Guidelines in Tessent Shell............................................................................................ 981
Encoding Tcl Scripts in Tessent Shell................................................................................................983
Guidelines for Modifying Existing Dofiles for Use With Tcl................................................................983
Special Tcl Characters....................................................................................................................... 985
Custom Tcl Packages in Tessent Shell..............................................................................................987
Tcl Resources.....................................................................................................................................987
Appendix B
Synthesis Guidelines for RTL Designs With Tessent Inserted DFT...................... 989
DFT Insertion With Tessent............................................................................................................... 989
Synthesis Guidelines.......................................................................................................................... 991
SystemVerilog and Port Name Matching........................................................................................... 993
Synthesis Guidelines for Parameterization Wrapper Flows...............................................................994
Tcl Procedures for Synthesis in the Parameterization Wrapper Flow............................................ 995
Appendix C
Clocking Architecture Examples.............................................................................. 997
Clocks Driven by Primary Inputs....................................................................................................... 997
Clock Generators Outside the Cores.................................................................................................997
Clock Generators Inside the Cores................................................................................................... 998
Clock Sourced From a Core With Embedded PLL............................................................................998
Clock Mesh Synthesis........................................................................................................................999
Appendix D
Formal Verification................................................................................................... 1001
Golden RTL Versus DFT‑Inserted RTL............................................................................................ 1001
Pre-Layout Versus Post-Layout....................................................................................................... 1002
Embedded Boundary Scan at the Physical Block Level..................................................................1002
Appendix E
Solutions for Genus Synthesis Issues...................................................................1005
Appendix F
Getting Help.............................................................................................................. 1007
The Tessent Documentation System............................................................................................... 1007
Global Customer Support and Success.......................................................................................... 1007
Third-Party Information
• Shared Data Models — Tessent Shell uses data models to store your design data. The Tessent
environment shares these models across all tools and functions, and you can access the data
stored within them to customize your design flow.
For standard automated flows, you do not need to be aware of this infrastructure. For those flows
that need to extend the native tool commands, they have the same access to the design data
model as the Tessent Shell tools do.
For more information about the data models, refer to “Design Data Models” on page 41.
• Attributes — Attributes are characteristics associated with design objects, such as library cells,
pins, and modules, that are stored within data models. Some are predefined, and you can also
create your own attributes. Using attributes increases visibility into your design, enabling you to
manipulate and query the features of the design objects.
• Design Introspection — Introspection is the act of examining the design objects and attributes
stored within the data models. Introspection enables you to retrieve the design data you need so
that you can use Tcl scripting techniques to automate your own custom designs flows.
For more information about design introspection, refer to “Design Introspection” on page 46.
• Tcl — Use this scripting language across all Tessent tools and functions. Through scripting,
you can customize and extend the Tessent Shell standard flows as needed for your design
requirements.
For more information about Tcl usage, refer to “Tessent Shell Tcl Interface” on page 29.
• Tessent Shell Database (TSDB) — The TSDB is a database that stores all the directories and
files that Tessent Shell generates as you move through a design flow. The TSDB enhances flow
automation by acting as the central location where Tessent tools can access the data it requires
• IJTAG Automation — By default, the DFT instruments that you create with Tessent Shell are
controlled through an IJTAG network and the IEEE 1687 protocol. Tessent Shell automatically
inserts the IJTAG infrastructure. This simplifies test setup and control of all the instruments at all
levels of hierarchy, and enables reuse in hierarchical designs and testbenches at any stage of
chip development.
For more information about IJTAG, refer to the Tessent IJTAG User’s Manual.
• Instrument Insertion — Generate and insert logic test hardware such as EDT (embedded
deterministic testing) and OCC (on-chip clock controller), in addition to LogicBIST, MemoryBIST,
in-system test, and boundary scan.
• Scan Analysis and Insertion — Perform scan analysis and scan chain insertion.
• ATPG — Generate ATPG patterns and perform fault simulation, including compression
technology.
• Defect Diagnosis and Yield Analysis — Perform test failure diagnosis to determine a defect’s
most probable failure mechanism, as well as statistical analysis of diagnostic failures to find
systemic defects.
Additionally, Tessent Shell provides general-purpose design editing support so that you can modify your
design netlists as needed. You can work on the command line as described in Design Editing, or use the
Tessent Visualizer graphical interface.
If you are getting started with Tessent Shell, refer to Tessent Shell Workflows for information about the
Tessent Shell workflow for RTL and scan DFT insertion.
Command Conventions
Command Completion
Command History
Escaped Hierarchical Names in Command Tcl Lists
Dofile Transcription
Tcl Command Registration
Command Conventions
Tessent Shell provides a unified Tcl-style command set and naming convention. Commands that begin
with a certain first word (for example, "get" in get_attribute_value_list) perform operations on the current
data model.
Table 1 provides a summary listing by command first word of the Tessent Shell commands. Refer to the
Tessent Shell Reference Manual for a complete list of commands and options. You can also use the help
command with a wildcard to find a complete list of commands that start with the same word:
> help get_*
Command Completion
In the Tessent Shell interface, you can use the Tab key to complete command names, command option
names, and command option values. If the command you type is ambiguous, pressing the Tab key lists
all matching commands. Additionally, within a command, pressing the Tab key can match variable names
with $.
Many Tessent Shell commands are constructed as follows:
command_name command_options command_option_values
For example:
set_config_value -in_wrapper /TopBuilder(CHIP)
Using Tab key completion, you can complete each of the command parts (name, options, and option
values).
For information about Tcl shell handling in Tessent Shell, refer to the set_tcl_shell_options command.
Pressing the Tab key after the string completes and expands the command so that it looks like this:
SETUP> get_attribute_list
as_is fs ms ns ps s us
Dofile Entries
You can use abbreviated commands (for example, g_a_l for get_attribute_list) in Tessent Shell dofiles,
but it is best to always use the full command name. There is no fixed minimum typing for any command
or option, and current minimum typing could change in future releases because of the addition of new
commands or options. Using the full command names also adds readability to your dofiles.
Command History
Tessent Shell provides many interactive command line conveniences found in other Linux shells.
On the command line, Tessent Shell binds the up arrow key to scroll back in history to show previous
commands, which you can easily rerun by pressing the enter key. Tessent Shell also binds the down
arrow key to scroll forward in the command history.
Tessent Shell also supports history expansion with an exclamation mark "!" as follows:
• !?str — reruns the last command that contains the <str> string.
• !str — reruns the last command that starts with the <str> string.
Tessent Shell does not support any other command selection or replacement options.
Tessent™ Shell User’s Manual 31
Tessent Shell Introduction
Escaped Hierarchical Names in Command Tcl Lists
Passing that string in a list passes the correct full pattern "\escaped@mem_inst /CLKW," and Tessent
Shell can find the requested object. Here are four different methods of accomplishing this.
SETUP> get_pins [list {\escaped@mem_inst /CLKW}]
{{\escaped@mem_inst /CLKW}}
{{\escaped@mem_inst /CLKW}}
{{\escaped@mem_inst /CLKW}}
{{\escaped@mem_inst /CLKW}}
Dofile Transcription
By default, the transcript style is Full, which means the tool writes out all commands read from a dofile
before any Tcl evaluation occurs. The command appears in the transcript as entered but is commented
out.
During Tcl evaluation, the Tcl commands are written to the transcript as follows:
• The tool writes all commands from the dofile with a "// command:" prefix. This includes Tessent
Shell commands, Tcl commands, and complete loop and if/else constructs.
• The tool writes all commands embedded in Tcl constructs to the transcript as run with a "//
sub_command:" prefix. Tessent Shell completes the variable and command substitutions and
writes the resolved values in the loop to the transcript.
Note:
This does not apply to introspection commands. Introspection commands are not written to
the transcript as subcommands.
• The tool indents nested dofiles when they are written to the logfile.
• The tool can read a Tcl routine in much the same manner as a dofile. If you issue the source
command (>source my_report_env), then the Tcl commands in the routine are not transcripted.
Control the Tessent Shell transcription behavior using the set_transcript_style command.
For information about modifying existing dofiles for use with the Tessent Shell Tcl interface, refer to
Guidelines for Modifying Existing Dofiles for Use With Tcl.
Command Description
When you first run the new command, the tool performs syntactic and semantic checks, and provides
the parsed results to your Tcl implementation of the command. The tool also provides a mechanism to
automatically define and register user-defined commands at tool invocation.
For more information about creating your own application commands, refer to the examples included with
the register_tcl_command description in the Tessent Shell Reference Manual.
Tool Invocation
Contexts and System Modes
Design Data Models
Tool Invocation
When you invoke Tessent Shell, the tool starts up in setup mode.
You can invoke Tessent Shell from a Linux shell using the following syntax:
% tessent -shell
To use most Tessent Shell functionality, you must load a cell library after invocation using the
read_cell_library command.
Refer to the tessent shell command description in the Tessent Shell Reference Manual for additional
invocation options.
Variable Description
TESSENT_LICENSE_EXCLUDE Specifies licenses that Tessent tools cannot check out. The
list of licenses uses the same terminology accepted by the
set_context -license command.
Variable Description
TESSENT_LICENSE_INCLUDE Specifies the only licenses that Tessent tools can check out.
The list of licenses uses the same terminology accepted by the
"set_context -license" command.
TESSENT_LICENSE_ORDER Specifies the order in which Tessent tools check out licenses.
The list of licenses uses the same terminology accepted by the
"set_context ‑license" command.
TESSENT_UNDERSCORE_COMMA Directs the tool to only enable commands that use the
NDS_ONLY underscore style. When this environment variable is set to any
value, the legacy commands that used spaces are disabled.
For example, the tool would accept analyze_drc_violation but
would not accept "analyze drc violation".
Related Topics
read_cell_library [Tessent Shell Reference Manual]
Contexts
System Modes
Context and System Mode Combinations
Design Levels
Contexts
The context specifies the functional category of tasks you want to perform with Tessent Shell.
Table 4 lists the contexts that are currently available.
Context Description
dft -logic_bist -edt Configuration, generation, and insertion of the EDT/LBIST hybrid
controller IP. This corresponds to Tessent LogicBIST.
dft -no_sub_context Specifies that a command is only available in the dft context when
no sub-context, such as -scan and -edt, was specified. Refer to the
register_tcl_command in the Tessent Shell Reference Manual for
more information.
dft -scan Scan analysis and scan chain insertion. This corresponds to Tessent
Scan. Additionally in this context you can prepare a BIST-ready design
and include tasks such as X-bounding, MCP/FP handling, and test
point insertion. These features correspond to Tessent ScanPro.
dft -test_points Test point identification and insertion. The test point identification
algorithm focuses on either pattern count reduction or improving
random pattern testability of the design.
patterns -failure_mapping Reverse map top-level failures to the core so that you can perform
diagnosis with Tessent Diagnosis. Used after performing scan pattern
retargeting within the patterns -scan_retargeting context.
Context Description
patterns -ijtag PDL command retargeting for IJTAG (IEEE 1687-2014) plus extraction
of the ICL network from the design.
patterns -scan Test pattern generation and good and fault simulation. This
corresponds to Tessent FastScan and the test pattern generation
phase of Tessent TestKompress. The patterns -scan context
supports uncompressed scan ATPG/fault simulation, EDT ATPG/fault
simulation, and Logic BIST fault simulation.
patterns -scan_diagnosis Test failure diagnosis to determine a defect’s failure mechanism and
location. This corresponds to Tessent Diagnosis.
patterns -scan_retargeting Scan pattern retargeting for retargeting core-level test patterns at the
top level.
Context Specification
Set the Tessent Shell context using the set_context command. For example:
SETUP> set_context dft -scan
You must set the context after you invoke Tessent Shell and before you can enter most commands. The
set_context command is available only in setup mode. You can use the get_context command to view the
current context.
Prior to setting the context, you can only run a small set of setup commands. These commands are those
that you would typically place inside the startup file.
Any available licenses not explicitly listed in the value of the TESSENT_LICENSE_ORDER environment
variable are appended to the list in their original order. The tool issues a warning if the environment
variable contains a license that does not exist.
You can exclude licenses such that Tessent Shell cannot check them out by setting the
TESSENT_LICENSE_EXCLUDE environment variable. You provide, as the value of the environment
variable, a space-separated list of licenses using the terminology described for "set_context ‑license." The
following example excludes two licenses:
You can limit the licenses that Tessent Shell can check out by setting the TESSENT_LICENSE_INCLUDE
environment variable. You provide, as the value of the environment variable, a space-separated list of
licenses using the terminology described for "set_context ‑license." The tool excludes all other licenses if
you set this environment variable. The following example includes only two licenses for Tessent Shell to
check out:
For more information about specifying a license feature, refer to the set_context command description
in the Tessent Shell Reference Manual. For a complete list of license feature names, refer to Managing
Tessent Software.
System Modes
A system mode in Tessent Shell defines the operational state of the tool. The default system mode is
setup. The available system mode depends on the current context of the tool.
Table 5 lists the available system modes.
setup Used as the entry point into the tool. Used to define the current context
and specify the design information.
analysis Used to perform design analysis, test pattern generation, PDL retargeting,
and simulation.
Change the Tessent Shell system mode using the set_system_mode command. For example:
SETUP> set_system_mode insertion
The tool provides a set of commands that enable you to interact with contexts and system modes.
Command Description
Design Levels
When working with DFT designs—that is, you are working in context dft—you must set the design level at
which you are performing tasks. There is no default setting for the design level.
The available design levels are chip, physical block, and sub-block. For flat designs, you always work at
the chip level. For hierarchical designs, it is crucial to differentiate whether you are work at the top-level
(chip) or at lower levels within physical blocks or sub-blocks. Refer to Hierarchical DFT Terminology for
definitions of these terms.
For complete information, refer to the set_design_level command description in the Tessent Shell
Reference Manual.
Note:
You can preserve hierarchical pins in the flat model by using the set_attribute_options
‑preserve_boundary_in_flat_model option.
For more information about the flat design data model and the gate_pin object type, refer to "Flat Design
Data Model" in the Tessent Shell Reference Manual.
• Module — A basic building block of your design. A module can be a Verilog module, a Tessent
library model, or a built-in primitive.
• icl_port
• icl_instance_in_module
• icl_pin_in_module
• icl_scan_register_in_module
• icl_scan_mux_in_module
• icl_one_hot_scan_group_in_module
• icl_alias_in_module
• icl_scan_interface_of_module
Once the current design is set using the set_current_design command, the ICL data model is elaborated
downward from the current design and icl_instance objects are created for each instance of icl_module,
and icl_pin objects are created for each port of the modules associated to the icl_instances. The
icl_instance and icl_pin objects are hierarchical objects and therefore only exist after the current design
is set. The same holds for objects of type icl_scan_register, icl_scan_mux, icl_one_hot_scan_group,
icl_alias, and icl_scan_interface.
For more information about the ICL data model and the icl_module, icl_instance, icl_port, and icl_pin
object types, refer to "ICL Data Model" in the Tessent Shell Reference Manual.
Object Attributes
Each design object in a design data model has a list of characteristics, called attributes, attached to that
object. For example, each pin has an attribute that specifies its hierarchical name and its parent instance.
There are both predefined and user-defined attributes.
Predefined attributes provide access to information known to the tool such as the name of a module or
the direction of a pin. All design objects have some predefined attributes, and every design object can
have user-defined attributes as well. For a complete list of attributes for each type of data model, refer to
"Data Models" chapter in the Tessent Shell Reference Manual.
The process for creating a new user-defined attribute is called registration. The predefined attributes,
unlike the user-defined attributes, do not need to be registered.
You must register each new user-defined attribute and specify a default value before you can use
the attribute. If you want to later change the default value, you must unregister and then re-register
the attribute. For more information about the registration process, refer to the description of the
register_attribute command in the Tessent Shell Reference Manual.
You can query any attribute and change any user-defined attribute. Most predefined attributes are read-
only, although some are read-write, such as the is_hard_module attribute.
You can filter and sort objects based on the attributes and their values. The filter_collection and
sort_collection commands perform these operations. For more information about filtering attributes, refer
to "Attribute Filtering Equation Syntax" in the Tessent Shell Reference Manual.
Intuitively named "get_" commands return collections of objects from the data model and the model’s
attributes that you can use for design introspection of various design objects.
Design Introspection
Design Editing
Simulation Contexts
Automatic Design Mapping
ICL Objects Versus Design Objects Introspection
Design Introspection
Tessent Shell introspection commands enable you to examine the design objects within a design data
model. They provide access to the tool’s internal data structures, giving you the flexibility of Tcl scripting
while keeping all compute-intensive processing in the tool’s backend. The commands operate on object
specifications that you include as arguments to the commands, and they return collections.
Collections
Collections are an extension of Tcl specific to Tessent Shell applications. Native Tcl commands such as
"foreach" and "puts" do not recognize collections. A collection represents a group of zero or more design
objects. Empty sets are collections with zero objects.
Design introspection commands return collections of objects. The objects are stored in the internal data
structures, and the tool returns a string handle to this collection. The string handle is a "@" character
followed by a numeric ID (for example, "@1"). The entire data volume remains in the tool’s internal data
structures, so the Tcl interface is not overloaded with large amounts of data.
Introspection commands that you run directly from the shell display the "name" attribute of the first 50
elements of the collection because the name is more useful than displaying the collection ID. However,
the tool does not display names in non-interactive mode, such as when running a dofile.
The following example demonstrates the use of the get_instances and get_name_list commands to return
collections of objects:
SETUP>set var1 [get_instances u* -hierarchical –of_modules MOD1]
{u1 u2 u3 u4 u5 u6 u7}
SETUP>puts $var1
@1
u1 u2 u3 u4 u5 u6 u7
In this example, the first command returns a collection of names. The objects are stored in internal data
structures, and the tool returns a string handle to this collection that is "@" followed by a number ID
("@1").
In the following example, the collection created by the get_instances command is stored in the variable
instCollection, which means that the collection is referenced.
set instCollection [get_instances -of_type cell]
Tessent Shell deletes the collection when you unset the variable instCollection or set the variable to a new
value, or when the Tcl variable(s) referring to the collection go out of scope.
In the following example, the collection created by the command get_pins is passed to the
get_attribute_value_list command. This means that the collection is referenced.
get_attribute_value_list [get_pins u1/a*] -name direction
Tessent Shell deletes the collection when the command get_attribute_value_list returns a value.
Collections can refer to objects that no longer exist because they have been deleted using editing
commands, such as delete_pins. The built-in attribute is_valid is set to false when an object has been
deleted. All commands that accept collection pointers as input automatically ignore objects with the
is_valid attribute set to false.
Persistence of Collections
The tool references a collection when the collection is stored in a Tcl variable or when it is passed to a
command or procedure. The tool automatically deletes a collection when it is no longer referenced.
You should not use Tcl built-in commands that expect a Tcl list, such as the foreach command, with
collections. When you pass a collection to this type of command, the command dereferences the
collection and, as a result, deletes the collection.
____________________________________________________________________________________
1. The table does not list all the applicable commands. Refer to the Tessent Shell Reference Manual.
Tessent™ Shell User’s Manual 47
Design Introspection and Editing
Collections
get_attribute_option
get_attribute_value_list
get_current_design
get_fanins
get_fanouts
get_gate_pins
get_instances
get_modules
get_name_list
get_nets
get_pins
get_ports
append_to_collection
compare_collections
copy_collection
filter_collection
foreach_in_collection
index_collection
remove_from_collection
sort_collection
report_attributes
set_attribute_value
The following two examples show how to use the show_fanouts procedure you just created.
> show_fanouts u2
The design in Figure 2 is identical to Figure 1, with the addition of colors to help you understand the
examples that follow.
set_attribute_value {u1 u2 u6 /u4/u5/u1 /u4/u3 /u5/u4 /u5/u3} -name color -value "red"
set_attribute_value {u3 u7 /u4/u1 /u4/u2 /u4/u5/u2 /u5/u1} -name color -value "blue"
As an alternate way to register the attribute in the previous example, you can use the register_attribute
command as shown here:
register_attribute -name is_red -value_type bool -object_types "instance" \
–description "True if color is red."
So, instead of using the color attribute with enumerated values of red, green, and blue as shown
previously, you could instead register three Boolean type attributes of is_red, is_green, and is_blue. This
enables you to use Boolean values for filtering and tracing. For example:
> set red_inst [filter_collection $all_inst {is_red}]
# change all instances in the collection DFFs to have a "color" attribute value of green
{u3 u3 u3}
sizeof_collection $t
{u3}
sizeof_collection $tt
set cnt 0
set line ""
foreach_in_collection element [get_instances u* -hierarchical] {
if {$cnt < 10} {
append line "[get_single_name $element] "
incr cnt
} else {
puts $line
set line ""
{\INF[1].data[31]}
get_ports data_in[5].ask
{data_in[31]}
The following example calls the get_instances introspection command with the RTL name after
performing quick synthesis.
get_instances u1/GEN[1].u2/REG[5].u3
{u1/\GEN[1].u2 /\REG[5].u3}
Related Topics
Editing Complex Verilog and SystemVerilog Signals With Tessent Shell Commands
get_single_name Returns a string with the name of the element specified by the object_spec
argument.
B[5].c[2].d
The portion in red ([5].c[2].d) is the select part, and the "B" is the root bundle. Refer to the "Bundle Object"
topic for complete details. The select part of the signals helps to explore the Bundle Object Hierarchy
level-by-level.
Tessent Shell supports introspection of RTL complex signals through a bundle object, which is a set of
bits that represent a sub signal of a given RTL net or port. Using Tessent Shell introspection commands
enable exploration inside the bundle object and selection of different bundle parts of the signals using the
hierarchical bitselect.
Bundle Object
Bundle Object Data Model
Introspection
Bundle Object Introspection Examples
Fan-in and Fanout Tracing of Complex Signals and Bundle Object Tracing
Connectivity Through Complex Signals
Bundle Object
A bundle object is a set of bits that represents a whole signal or its sub signals of a given RTL port or net.
The bundle is made up of Tessent Shell Hierarchical Design Data Model object types that you introspect
using Tessent Shell introspection commands relative to the current design. The following illustrates this
concept:
Root bundle (Signal itself)
|--> Node (Sub Signal)
| |--> Leaf (Bit-blasted elements)
The following object types contain several common attributes that are also associated with the bit-blasted
object types (net, pin, and port):
• net_bundle
• pin_bundle
• port_bundle
All attributes added to port, pin, and net are also added to port_bundle, pin_bundle, and net_bundle.
For example, the naming is common between a bit-blasted object type and a bundle object type.
Refer to “Bundle Object Data Model” on page 61 for complete information.
Naming Attributes
The following table shows the list of the principal name attributes associated with net, port, and pin object
types.
name The active name. The The full RTL The full synthesis name
name depends on the name including including all the select part
selected view. This all the select (flatten position in case of
includes the select part in part. complex signals).
the case of signals (port,
pin, and net).
The -rtl mode has the RTL
view and the synthesized
view.
The -no_rtl mode only has
the netlist view.
parent_bundle_name The bundle parent of the The parent The parent name of the
port, pin, or net. name of the selected synthesized
selected RTL object.
object.
pre_synthesis_name RTL name, the original Equal to "name" Full RTL name, the original
name before synthesis. attribute. name before synthesis.
root_bundle_name The active name without The base RTL The base synthesis name
the select part. name without without the select part.
the select part.
typedef struct{
logic a;
logic [1:0] b;
} data_t;
input data_t [2:0][4:0] data_in;
data_in[2][1].a data_in[35]
data_in[2][1].b data_in[34:33]
data_in[2][1].b[1] data_in[34]
The following table shows the different naming of the signal "data_in[2][1].b[1]"
Example 2
In this example, the "net1" from Example 1 is declared inside nested generate blocks:
typedef struct{
logic a;
logic [1:0] b;
} data_t;
genvar i, j;
generate
Example 3
This example illustrates an interface declared as a net to connect two sub instances.
interface intf;
logic a;
logic b;
modport in (input a, output b);
modport out (input b, output a);
endinterface
module top;
intf i ();
u_a m1 (.i1(i));
u_b m2 (.i2(i));
endmodule
The following tables shows the different naming of the signals "i.a" and "m1/i1.a".
For net: "i.a"
root_bundle_name i i
Example 4
The values of the name attributes are language independent and have the same values for both VHDL
and Verilog RTL objects. The following example in VHDL uses the record datatype:
library ieee;
package record_pkg is
begin
………
end behave;
The following table shows the different naming of the port "i_fifo.rd_data(5)" in VHDL. VHDL uses "()" to
represent the index, but Tessent Shell uses "[]" instead.
• Net
• Net Bundle
• Pin
• Pin Bundle
• Port
• Port Bundle
Each of these object types is covered in detail in the Tessent Shell Reference Manual.
• base_class — String character that represents the class category of the base type. It helps to
complete the base_type definition. Possible values are: = 4_state_signed | 4_state_unsigned |
2_state_signed | 2_state_unsigned | enum | struct_packed | struct_unpacked | union_backed |
union_unpacked | interface | interface_modport | other.
• base_type — String character that represents the base type of the selected object. The base
type is the type of the object without any dimensions. It represents the base type of the sub
bundle type if the selected object is a sub bundle object. It can be a base type of the root type if
the selected object is a root bundle. The base_type is a key or user name that help to understand
the base type of this bundle. Possible values are: logic | register | wire | bit | supply0 | supply1 | tri
| triand | trior | tri0 | tri1 |wand | wor | byte | shortint | integer | longint | user_name | other
The following examples show the values for base_class and base_type bundle object type attributes in
SystemVerilog:
tri, wand, triand, wor, trior, tri0, tri1 base_type = tri, wand, triand....
For all those datatypes, the base_class = 4-state_unsigned
module top (INTF1 Bus) bundle "Bus" has as base_type=INTF1, base_class = interface
Net Bundle
The Net Bundle object type has net_bundle datatype and attributes. For complete details, refer to
net_bundle in the Tessent Shell Reference Manual.
Pin Bundle
The Pin Bundle object type has pin_bundle datatype and attributes. For complete details, refer to
pin_bundle in the Tessent Shell Reference Manual.
Port Bundle
The Port Bundle object type has port_bundle datatype and attributes. For complete details, refer to
port_bundle in the Tessent Shell Reference Manual.
• tessent_design_module — The attribute reflects the name of the design module that
corresponds to the ICL module at the time of ICL extraction.
icl_port
The following icl_port built-in attribute applies to design objects:
Introspection
Using bundle object types, Tessent Shell enables you to introspect and explore any kind of signal within
the design data model.
Both simple datatypes and complex datatypes can be explored using the introspection commands listed
in “Complex Signal Introspection Commands” on page 66.
Any signal objects (ports, pins, and nets) are considered as a tree of sub signals. The given tree is
referred to as a Bundle Object Hierarchy. The root bundle is the signal; the leaf objects are the bit-blasted
elements of the given signal; the root, leaf, and middle bundle are all nodes of the tree. The middle
bundles and the leaf objects are the sub bundle objects. To support this concept there are object types in
the Hierarchical Design Data Model. The leaf objects are represented by net, pin, and port object types,
the root and middle bundles are represented by net_bundle, pin_bundle, and port_bundle objects types.
Refer to “Bundle Object Data Model” on page 61.
The concept of bundle object type is applied to complex and simple signals for RTL and no RTL flow. The
difference between simple and complex signals is in the number of levels that can be explored through
the introspection commands. The select part of the signals helps to explore the Bundle Object Hierarchy
level by level.
Tip
When performing introspection of complex signals, also refer to “Object Specification Format” on
page 46 and “Collections” on page 46.
typedef struct{
logic a;
logic [1:0] b;
} data_t;
input data_t [1:0][2:0] data_in;
The following shows the hierarchy of the "data_in" port in the Tessent Shell design data model.
Root bundle "data_in"
|---> data_in[1]
| |---> data_in[1][2]
| | |---> data_in[1][2].a
| | |---> data_in[1][2].b
| | |---> data_in[1][2].b[1]
| | |---> data_in[1][2].b[0]
| |---> data_in[1][1]
| | |---> data_in[1][1].a
| | |---> data_in[1][1].b
| | |---> data_in[1][1].b[1]
| | |---> data_in[1][1].b[0]
| |---> data_in[1][0]
| |---> data_in[1][0].a
| |---> data_in[1][0].b
| |---> data_in[1][0].b[1]
| |---> data_in[1][0].b[0]
|---> data_in[0]
|---> data_in[0][2]
| |---> data_in[0][2].a
| |---> data_in[0][2].b
| |---> data_in[0][2].b[1]
| |---> data_in[0][2].b[0]
|---> data_in[0][1]
| |---> data_in[0][1].a
| |---> data_in[0][1].b
| |---> data_in[0][1].b[1]
| |---> data_in[0][1].b[0]
|---> data_in[0][0]
|---> data_in[0][0].a
|---> data_in[0][0].b
|---> data_in[0][0].b[1]
|---> data_in[0][0].b[0]
Referring to the figure, using the name_patterns argument of the introspection commands, the tool splits
each pattern into the different levels so that a local sub-pattern is applied to each local level.
For the bundle part, the characters "." and "[<index>]" enable access to sub bundle hierarchy, ":" to
access field sub bundle objects of struct or SVinterface and "[<index>]" to access array element sub
bundle objects. For example, the pattern "data_in[0][1].b[*]" is really five sub-patterns; "data_in", "[0]",
"[1]",".b", and "[*]", representing five levels of bundle hierarchy.
For simple wildcard patterns, the '*' is used the exact same way as it is used in instance path matching.
It does not cross hierarchy. When specified within a field name it does not cross the "." that precedes or
follows the field. When applied within [ ], it only matches elements within the [ ]. In other words delimiters
must be explicit.
For regular expression patterns, an individual regular expression only matches elements within the sub-
pattern in which they are found. Again, it does not cross the adjacent delimiters.
Without the -regexp switch in the introspection command, the tool treats the bundle hierarchy delimiters
("[]" and ".") as normal delimiters and serves to break the pattern into multiple sub-patterns. When you
include the -regexp switch in the introspection command, the tool treats the bundle hierarchy delimiters as
regular expression meta-characters (unless you have escaped those delimiters). That is, in regexp mode
the delimiters must be explicit and, unlike simple wildcard patterns, you must escape them.
For example, to match data_in[1], you need to use data_in\[.*\]. The sequence '.*' matches any character
zero or more times. For more details, refer to the Example With regexp.
Refer to Glob and Regular Expression Pattern Matching Syntax in the Tessent Shell Reference Manual
for complete information on pattern matching.
Note:
The examples use the "get_ports ... -bundle" command. For "get_nets ... -bundle" and
"get_pins ... -bundle", the behavior is the same.
Examples
These examples use the "data_in" hierarchy described in the preceding.
SETUP> get_ports data_* -bundle
data_in
data_in
{data_in[1]} {data_in[0]}
{data_in[0]}
{data_in[1][2].a} {data_in[1][2].b}
Note:
Integer, enum, and others are bit-blasted in unbundled objects in introspection commands when
the ‑bundle switch is omitted.
data_in[1].data[1].data1[1][1]
data_in[1].data[1].data1[1][0]
data_in[1].data[1].data1[0][1]
data_in[1].data[1].data1[0][0]
data_in[1].data[1].data2[1][2]
...
data_in[0].data[0].data2[0][2]
data_in[0].data[0].data2[0][1]
data_in[0].data[0].data2[0][0]
data_in[1].data[1].data1[1][1]
data_in[1].data[1].data1[1][0]
data_in[1].data[1].data1[0][1]
data_in[1].data[1].data1[0][0]
data_in[1].data[1].data2[1][2]
...
data_in[0].data[0].data2[0][2]
data_in[0].data[0].data2[0][1]
data_in[0].data[0].data2[0][0]
get_nets ... -bundle When the -bundle switch is specified, constrains the tool to return net
bundle/leaf objects. When the pattern matches a bundle, the command
get_pins ... -bundle When the -bundle switch is specified, constrains the tool to return pin
bundle/leaf objects. When the pattern matches a bundle, the command
returns the bundle. When it matches leaf objects, it returns those leaf
objects.
get_ports ... -bundle When the -bundle switch is specified, constrains the tool to return port
bundle/leaf objects. When the pattern matches a bundle, the command
returns the bundle. When it matches leaf objects, it returns those leaf
objects.
interface MSBus;
event_bus_split_packet_t Addr;
logic [1:0] Data;
logic RWn;
logic Clk;
modport Secondary (input Addr, RWn, Clk, output Data);
endinterface
Example 1
The following command returns all bit-blasted ports of "top" module (default behavior):
SETUP> get_ports -of_modules top
{Bus[0].Addr.state_encoded[2]} {Bus[0].Addr.state_encoded[1]}
{Bus[0].Addr.state_encoded[0]} {Bus[0].Addr.dt_lcp_pulse.eventbus[2]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[1]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[0]} {Bus[0].Addr.eventbus_encoded[3]}
{Bus[0].Addr.eventbus_encoded[2]} {Bus[0].Addr.eventbus_encoded[1]}
{Bus[0].Addr.eventbus_encoded[0]} {Bus[0].RWn} {Bus[0].Clk}
{Bus[0].Data[1]} {Bus[0].Data[0]}
{Bus[1].Addr.state_encoded[2]} {Bus[1].Addr.state_encoded[1]}
{Bus[1].Addr.state_encoded[0]} {Bus[1].Addr.dt_lcp_pulse.eventbus[2]}
{Bus[1].Addr.dt_lcp_pulse.eventbus[1]}
{Bus[1].Addr.dt_lcp_pulse.eventbus[0]} {Bus[1].Addr.eventbus_encoded[3]}
{Bus[1].Addr.eventbus_encoded[2]} {Bus[1].Addr.eventbus_encoded[1]}
{Bus[1].Addr.eventbus_encoded[0]} {Bus[1].RWn} {Bus[1].Clk}
{Bus[1].Data[1]} {Bus[1].Data[0]}
Example 2
The following commands return all root bundle ports of "top" module or sub bundle objects according to
name patterns used:
SETUP> set b [get_ports -of_modules top -bundle]
Bus i_clk
Bus
Bus
Bus
{Bus[0].Addr}
{Bus[0].Addr.state_encoded} {Bus[0].Addr.dt_lcp_pulse.eventbus}
{Bus[0].Addr.eventbus_encoded}
{Bus[0].Addr.state_encoded[2]} {Bus[0].Addr.state_encoded[1]}
{Bus[0].Addr.state_encoded[0]} {Bus[0].Addr.dt_lcp_pulse.eventbus[2]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[1]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[0]} {Bus[0].Addr.eventbus_encoded[3]}
{Bus[0].Addr.eventbus_encoded[2]} {Bus[0].Addr.eventbus_encoded[1]}
{Bus[0].Addr.eventbus_encoded[0]}
{Bus[0]} {Bus[1]}
{Bus[0].Addr.state_encoded[2]} {Bus[0].Addr.state_encoded[1]}
{Bus[0].Addr.state_encoded[0]} {Bus[0].Addr.dt_lcp_pulse.eventbus[2]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[1]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[0]} {Bus[0].Addr.eventbus_encoded[3]}
{Bus[0].Addr.eventbus_encoded[2]} {Bus[0].Addr.eventbus_encoded[1]}
{Bus[0].Addr.eventbus_encoded[0]} {Bus[0].RWn} {Bus[0].Clk}
{Bus[0].Data[1]} {Bus[0].Data[0]}
{Bus[0]} {Bus[1]}
{Bus}
Bus[0].Addr.state_encoded[2]} {Bus[0].Addr.state_encoded[1]}
{Bus[0].Addr.state_encoded[0]} {Bus[0].Addr.dt_lcp_pulse.eventbus[2]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[1]}
{Bus[0].Addr.dt_lcp_pulse.eventbus[0]} {Bus[0].Addr.eventbus_encoded[3]}
{Bus[0].Addr.eventbus_encoded[2]} {Bus[0].Addr.eventbus_encoded[1]}
{Bus[0].Addr.eventbus_encoded[0]}
{Bus[0].Addr.state_encoded} {Bus[0].Addr.dt_lcp_pulse}
{Bus[0].Addr.eventbus_encoded}
{Bus[0].Clk}
{Bus[0].Addr.state_encoded[2]} {Bus[0].Addr.state_encoded[1]}
{Bus[0].Addr.state_encoded[0]}
{Bus[0].Addr}
{Bus[0]}
{Bus}
{}
• get_fanins
• get_fanouts
The following shows a top-level RTL module (top1.sv) with SV Interface objects. The tool models SV
Interfaces as a named bundle of wires. Within the tool, those are considered as normal bundle ports, pins,
and nets. You access the bundle object using the get_nets, get_pins, and get_ports commands.
module top (clk, reset, ce, we, addr, datai, datao, cnto, datao2, en);
localparam WID = 8;
localparam AWID = 5;
localparam LEN = 2**AWID;
localparam GEN = 3;
input en;
input clk, reset, ce, we;
input [AWID-1:0] addr;
input [WID-1:0] datai;
output [GEN*12-1:0] datao, datao2;
output [GEN*WID-1:0] cnto;
param_mem_if miff(
.clk(clk),
.reset(resetEn),
.we(weEn),
.ce(ceEn),
.dati(datai),
.addr(addr),
.dato(datao)
);
genvar i;
generate
for (i=0; i<GEN; i++) begin: gen_mem
SYNC_1RW_16x8 mem1 (.CLK(clk), .D(datai), .Q(datao2[(WID*(i+1)
-1):i*WID]), .WE(we), .OE(ce), .RE(ce), .A(addr[3:0]));
end
endgenerate
generate
for (i=0; i<GEN; i++) begin: gen_mem_wrapper
The following shows an example command sequence to introspect the fan-ins and fanouts in this design:
SETUP> set_context dft -rtl
SETUP> read_cell_library my_cell_library.lib
SETUP> set_design_sources -format verilog -y {verilog_source_directory} -ext {v}
SETUP> set_design_sources -format tcd_memory -y {verilog_source_directory} \
-ext {lvlib lib}
SETUP> read_verilog param_mem.sv -format sv2009
SETUP> read_verilog param_counter.sv -format sv2009
SETUP> read_verilog top1.sv -format sv2009
SETUP> set_current_design top
SETUP> set_design_level physical_block
SETUP> add_input_constraints en -C1
SETUP> puts [join [get_attribute_value_list \
[get_fanins gen_mem_wrapper[0].mem_inst/mem1/CLK ] -name name] "\n"]
clk
Here, from SV Interface sub bundle pins, the tool reaches the output pin of primitive. (Continuing from the
previous example.)
SETUP> puts [join [get_name_list \
[get_fanouts gen_mem_wrapper[2].mem_inst/mif.ce ]] "\n"]
enAnd4/OUT
gen_mem_wrapper[2].mem_inst/mem1/OE
gen_mem_wrapper[2].mem_inst/mem1/RE
gen_mem_wrapper[2].mem_inst/mem2/OE
gen_mem_wrapper[2].mem_inst/mem2/RE
Here, from SV Interface sub bundle pins, the tool reaches the SV interface sub bundle net "miff.ce".
(Continuing with the previous example.)
SETUP> puts [join [get_name_list \
[get_fanins gen_mem_wrapper[2].mem_inst/mif.ce -stop_on net] \
-name name] "\n"]
miff.ce
gen_mem_wrapper[2].mem_inst/mif.ce
Additional Examples
The following command sequence shows introspection of bundle objects through both fan-ins and
fanouts, as well as ports and pins:
SETUP> set bitblasted_port [get_ports jack]
SETUP> set bitblasted_conn [get_fanouts $bitblasted port]
SETUP> puts [join [get_name_list $bitblasted_conn] "\n"]
i1/jim[2]
i2/jim[1]
i1/jim[1]
i1/joe[2]
i1/jim[0]
i2/joe[2]
i1/jim
jack[2]
jack[1]
jack[0]
jack
When Tessent Shell flattens the design, only the leaf objects of complex signals are translated to gate
pins using the post synthesis names (refer to the post_synthesis_name attribute on the Port, port_bundle,
Pin, pin_bundle, Net, and net_bundle object types for more information).
For objects found inside unsynthesized modules, the hierarchical design objects uses the pre-synthesis
names (refer to the pre_synthesis_name attribute on the Port, port_bundle, Pin, pin_bundle, Net, and
net_bundle object types for more information).
However, the tool always uses the post-synthesis name of the leaf objects of complex signals for gate pin
objects in the flat model, whether it synthesizes the modules or not.
The tool provides several switches on the introspection commands to simplify the introspection between
the hierarchical design objects and the flat model gate_pin objects. With complex signals, you cannot
assume the two objects have the same name.
{InBus[1]}
SETUP> create_flat_model
// Flattening process completed, gates=79, PIs=12, POs=13, CPU time=0.00 sec.
// ---------------------------------------------------------------------------
// Begin circuit learning analyses.
// --------------------------------
// Learning completed, CPU time=0.00 sec.
{InBus[1]}
This example shows the use of the get_gate_pins -of_pin and get_pins ‑of_gate_pins to illustrate that the
matching objects do not have the same name:
SETUP> get_gate_pins -of_pins [get_pins genloop[2].icw0/ic/OutBus[1]]
{{\genloop[2].icw0 /ic/OutBus[1]}}
{{\genloop[2].icw0 /ic/OutBus[1]}}
{genloop[2].icw0/ic/OutBus[1]}
{genloop[2].icw0/ic/OutBus[1]}
Tessent Visualizer facilitates cross-probing pins in the schematic to their associated RTL netlist.
For complete information on using Tessent Visualizer, refer to “Tessent Visualizer” on page 831.
Design Editing
Tessent Shell design editing commands enable you to modify your design after reading in the RTL or
gate-level netlist. Tessent Shell supports gate-level netlist editing or RTL design editing with full language
support, including multiple logical libraries, VHDL, Verilog, and SystemVerilog. Parameterized modules
are also fully supported.
The design editing commands enable you to manipulate a design’s modules, instances, nets, ports, and
pins, either interactively or through Tcl scripting.
Note:
Refer to HDL Limitations in the Tessent Shell Flow in the Tessent Shell Reference Manual for
language restrictions and limitations. The design editing commands are not available when using
some product licenses, such as Tessent FastScan and Tessent Scan.
Design editing commands work with collections and introspection commands, as well as native Tcl
commands, to automate many tasks. Table 12 presents the common design editing commands based on
the function they perform— that is, whether they create, modify, or remove elements and so on. For more
information on collections and introspection commands, refer to Design Introspection.
CAUTION:
Take special care when dealing with non-unique design scopes, such as multiple instances of
the same module or the inner part of a generate loop. Refer to Example of Handling Non‑Unique
Design Scopes within “Design Editing Examples” on page 109 for details.
read_vhdl
set_logical_design_libraries
create_instance
create_module
create_net
create_pin
create_port
delete_instances
delete_nets
delete_pins
delete_ports
intercept_connection
replace_instances
set_current_design
uniquify_instances
uniquify_instances
copy_module Creates an exact copy of a design module and gives it a new name,
which the tool can use as part of create_instance and replace_instances
operations.
create_instance Instantiates a module (design or cell type) inside of a design module that
is part of the current design.
get_insertion_option Introspects the default values of options affecting many design editing
commands.
intercept_connection Using the get_dft_cell command, obtains a cell with the specified function
name and uses it to intercept a connection to a pin, port, or net.
move_connections Moves a net connected on one pin or port to another pin or port. The first
pin is left open after the move.
uniquify_instances If the module of the specified instance has other instantiations in the
design, the tool copies the module and the specified instance becomes an
instance of the copied module.
◦ Packed/unpacked struct
◦ Packed union
◦ Enum
Overview
Create the DftSpecification wrapper object with the create_dft_specification command and customize it
with configuration data editing commands. After you have finished modifying the DftSpecification, perform
DFT insertion with the process_dft_specification command. You can make connections between pins and
ports with complex types to other pins and ports with complex types, move these connections, and delete
these connections.
Load the DftSpecification either before or after quick synthesis. You can use the full names of complex
ports and pins regardless of whether the current views are quick-synthesized.
Examples
When processing a DftSpecification on the RTL view of a design, you can use bundle ports and pins to
define the DFT signals:
EdtChannelsIn(8:5) {
port_int_name : edt_channel.in;
}
When processing a DftSpecification on the quick-synthesized view of a design, you can specify port and
pin RTL names as bit-blasted:
EdtChannelsIn(8:5) {
port_pin_name : edt_channel.in[3], edt_channel.in[2], \
edt_channel.in[1], edt_channel.in[0];
}
You can also specify port and pin RTL names as slices:
EdtChannelsIn(8:5) {
port_pin_name : edt_channel.in[3:0];
}
Related Topics
Configuration-Based Specification
create_dft_specification
process_dft_specification
Editing Complex Verilog and SystemVerilog Signals With Tessent Shell Commands
◦ Packed/unpacked struct
◦ Packed union
◦ Enum
Table 14. Commands for Editing Complex Ports, Pins, and Nets
Command Enhancement
move_connections Accepts complex ports, pins, or nets for the ‑from and ‑to arguments.
get_instances Returns the equivalent quick-synthesized objects from the complete RTL
instance name.
get_pins Returns the equivalent quick-synthesized objects from the complete RTL
names of complex ports and pins (including the instance path).
get_ports Returns the equivalent quick-synthesized objects from the complete RTL
names of complex ports and pins (including the instance path).
HDL Limitations in the Tessent Shell Flow [Tessent Shell Reference Manual]
package pack1;
typedef struct packed {
bit clk;
logic [1:0] data;
} struct_p;
typedef struct {
bit clk;
logic [1:0] data;
} struct_u;
typedef union packed {
struct_p data1;
logic [2:0] data2;
} union_p;
typedef enum {off, ready, running} states;
endpackage
package pack2;
import pack1::*;
// 2D packed array of packed struct
typedef struct_p [3:0][2:0] p_array_struct_p;
// compound unpacked array
typedef p_array_struct_p u_array [1:0];
// unpacked array of unpacked struct
typedef struct_u u_array_struct_u [1:0];
endpackage
interface intf1;
logic tx_rx1;
logic tx_rx2;
modport usage1 ( input tx_rx1, output tx_rx2 );
modport usage2 ( input tx_rx2, output tx_rx1 );
endinterface
module top;
mod1 i1 ();
mod2 i2 ();
endmodule
Run the create_connections command in insertion mode to connect the pins using bundle objects:
INSERTION> create_connections [get_pins i1/p1_struct -bundle] \
[get_pins i2/p1_struct -bundle]
module top;
wire [5:0] p1_struct;
pack2::u_array_struct_u p1_struct_ts1, p1_struct_ts2;
mod1 i1 (.p1_struct(p1_struct_ts1));
mod2 i2 (.p1_struct(p1_struct_ts2));
assign p1_struct_ts1 = '{'{p1_struct[5], p1_struct[4:3]},
The following diagram shows the modified design with the new connection in teal:
module top;
mod1 i1 ();
mod2 i2 ();
endmodule
import pack1::*;
module mod1( input union_p p1_union );
endmodule // mod1
Run the create_connections command in insertion mode to connect the pins of the union type:
INSERTION> create_connections i1/p1_union.data1 i2/p1_union.data2
module top;
wire [2:0] p1_union;
mod1 i1 (.p1_union(p1_union));
mod2 i2 (.p1_union(p1_union));
endmodule
module top;
mod1 i1 ();
mod2 i2 ();
endmodule
Run the create_connections command in insertion mode to connect the pin sub-bundles:
INSERTION> create_connections i1/p1_struct[3][2].data i2/p1_struct[3][2].data
module top;
logic p1_struct;
logic p1_struct_ts1;
wire tessent_filler_net_ts1, tessent_filler_net_ts3;
wire [32:0] tessent_filler_net, tessent_filler_net_ts2;
mod1 i1 (.p1_struct({tessent_filler_net_ts1, p1_struct_ts1, p1_struct,
tessent_filler_net[32:30], tessent_filler_net[29:27],
tessent_filler_net[26:0]}));
mod2 i2 (.p1_struct({tessent_filler_net_ts3, p1_struct_ts1,
p1_struct,tessent_filler_net_ts2[32:30],
tessent_filler_net_ts2[29:27],tessent_filler_net_ts2[26:0]}));
endmodule
module top;
pack2::p_array_struct_p n1_struct;
wire [33:0] filler_net;
mod1 i1 ();
mod2 i2 (.p1_struct(n1_struct));
mod3 i3 (.p1_struct({filler_net[33], n1_struct[3][2].data,
filler_net[32:0]}));
logic [1:0] data;
always_ff @(posedge n1_struct[3][2].clk) begin
data <= n1_struct[3][2].data;
end
endmodule
Run the create_connections command in insertion mode to connect the input sub-bundle to the output
sub-bundle:
INSERTION> create_connections i1/p1_struct[3][2].data i2/p1_struct[3][2].data
module top;
pack2::p_array_struct_p n1_struct;
wire [33:0] filler_net;
wire tessent_filler_net_ts1;
wire [32:0] tessent_filler_net;
mod1 i1 (.p1_struct({tessent_filler_net_ts1, n1_struct[3][2].data,
tessent_filler_net[32:30], tessent_filler_net[29:27],
tessent_filler_net[26:0]}));
mod2 i2 (.p1_struct(n1_struct));
mod3 i3 (.p1_struct({filler_net[33], n1_struct[3][2].data,
filler_net[32:0]}));
logic [1:0] data;
always_ff @(posedge n1_struct[3][2].clk) begin
data <= n1_struct[3][2].data;
end
endmodule
module top;
mod1 i1 ();
mod2 i2 ();
endmodule
module top;
bit p1_struct;
pack2::u_array_struct_u tessent_internal_net, tessent_internal_net_ts1;
wire [4:0] tessent_filler_net, tessent_filler_net_ts1;
mod1 i1 (.p1_struct(tessent_internal_net));
module top;
pack2::u_array_struct_u n1_struct;
wire [4:0] filler_net;
mod1 i1 ();
mod2 i2 (.p1_struct(n1_struct));
mod3 i3 (.p1_struct('{'{n1_struct[1].clk, filler_net[4:3]},
'{filler_net[2], filler_net[1:0]}}));
bit clk;
always_ff @(posedge n1_struct[1].clk) begin
clk <= n1_struct[1].clk;
end
endmodule
Run the create_connections command in insertion mode as follows to add fanout to the output pin leaf:
INSERTION> create_connections i1/p1_struct[1].clk i2/p1_struct[1].clk
module top;
pack2::u_array_struct_u n1_struct;
wire [4:0] filler_net;
pack2::u_array_struct_u tessent_internal_net;
wire [4:0] tessent_filler_net;
mod1 i1 (.p1_struct(tessent_internal_net));
mod2 i2 (.p1_struct(n1_struct));
mod3 i3 (.p1_struct('{'{n1_struct[1].clk, filler_net[4:3]},
'{filler_net[2], filler_net[1:0]}}));
bit clk;
always_ff @(posedge n1_struct[1].clk) begin
import pack1::*;
module mod1;
// unpacked array of unpacked struct
// inner type is in pack1;
// outer type is declared locally
typedef struct_u u_array_struct_u [1:0];
u_array_struct_u n1;
submod1 i1 ();
endmodule // mod1
Run the create_connections command in insertion mode as follows to connect the output pin to the net:
INSERTION> create_connections n1 i1/p1
import pack1::*;
module mod1;
typedef struct_u u_array_struct_u [1:0];
u_array_struct_u n1;
submod1 i1 (.p1(n1));
endmodule
module top;
mod1 i1( .p1_enum(pack1::running));
endmodule
import pack1::*;
module mod1( input states p1_enum );
submod1 i1 ();
endmodule // mod1
Run the create_connections command in insertion mode as follows to connect the pins:
INSERTION> create_connections i1/p1_enum i1/i1/p1
module top;
wire [31:0] p1_enum;
mod1 i1( .p1_enum(pack1::states'(p1_enum)));
assign p1_enum = 32'b00000000000000000000000000000010;
endmodule
import pack1::*;
module top;
mod1 i1( .p1_enum(pack1::running));
endmodule
import pack1::*;
module mod1( input states p1_enum );
submod1 i1();
endmodule // mod1
Run the create_connections command in insertion mode as follows to connect the pins bit by bit:
module top;
wire p1_enum, p1_enum_ts1;
mod1 i1( .p1_enum(pack1::states'({30'b000000000000000000000000000000,
p1_enum, p1_enum_ts1})));
assign p1_enum = 1'b1;
assign p1_enum_ts1 = 1'b0;
endmodule
import pack1::*;
module top;
mod1 i1( .p1_enum(pack1::running));
endmodule
import pack1::*;
module mod1( input states p1_enum );
submod1 i1();
endmodule // mod1
Run the create_connections command in insertion mode as follows to connect the pins:
INSERTION> create_connections i1/p1_enum i1/i1/p1
module top;
wire [31:0] p1_enum;
mod1 i1( .p1_enum(pack1::states'(p1_enum)));
assign p1_enum = 32'b00000000000000000000000000000010;
endmodule
import pack1::*;
module top;
mod2 i1 ();
endmodule // top
import pack1::*;
module top;
mod2 i1 ();
endmodule // top
import pack1::*;
module top;
mod1 i1 ();
mod2 i2 ();
endmodule
Run the create_connections command in insertion mode as follows to connect the pin bundles:
INSERTION> create_connections i1/p1_struct[3][2] i2/p1_struct[1]
module top;
logic p1_struct;
logic p1_struct_ts1;
bit p1_struct_ts2;
wire [32:0] tessent_filler_net;
pack2::u_array_struct_u tessent_internal_net;
wire [2:0] tessent_filler_net_ts1;
mod1 i1 (.p1_struct({p1_struct_ts2, p1_struct_ts1, p1_struct,
tessent_filler_net[32:30], tessent_filler_net[29:27],
tessent_filler_net[26:0]}));
mod2 i2 (.p1_struct(tessent_internal_net));
assign {p1_struct_ts2, p1_struct_ts1, p1_struct,
tessent_filler_net_ts1} = {tessent_internal_net[1].clk,
tessent_internal_net[1].data, tessent_internal_net[0].clk,
tessent_internal_net[0].data};
endmodule
module top;
mod1 i1 ();
mod2 i2 ();
endmodule
Run the create_connections command in insertion mode as follows to connect the pin bundles:
INSERTION> create_connections i1/p1_struct[1] i2/p1_struct[3][2]
module top;
logic p1_struct;
logic p1_struct_ts1;
bit p1_struct_ts2;
pack2::u_array_struct_u tessent_internal_net;
wire [32:0] tessent_filler_net;
wire [2:0] tessent_filler_net_ts1;
mod1 i1 (.p1_struct(tessent_internal_net));
mod2 i2 (.p1_struct({p1_struct_ts2, p1_struct_ts1, p1_struct,
tessent_filler_net[32:30], tessent_filler_net[29:27],
tessent_filler_net[26:0]}));
assign tessent_internal_net = '{'{p1_struct_ts2, {p1_struct_ts1,
p1_struct}}, '{tessent_filler_net_ts1[2],
tessent_filler_net_ts1[1:0]}};
endmodule
module top;
intf1 n1 ();
intf1 n2 ();
mod1 i1 (.p1_intf(n1));
mod2 i2 (.p1_intf(n2));
endmodule
module top;
intf1 n1 ();
intf1 n2 ();
mod1 i1 (.p1_intf(n1));
mod2 i2 (.p1_intf(n2));
assign n1.tx_rx1 = n2.tx_rx1;
endmodule
module top;
intf1 n1 ();
intf1 n2 ();
mod1 i1 (.p1_intf(n1.usage1));
mod2 i2 (.p1_intf(n2.usage2));
endmodule
module top;
intf1 n1 ();
intf1 n2 ();
mod1 i1 (.p1_intf(n1.usage1));
mod2 i2 (.p1_intf(n2.usage2));
assign n1.tx_rx1 = n2.tx_rx1;
endmodule
module top;
intf1 n1 ();
intf1 n2 ();
mod1 i1 (.p1_intf(n1));
mod2 i2 (.p1_intf(n2.usage2));
endmodule // top
module top;
intf1 n1 ();
intf1 n2 ();
mod1 i1 (.p1_intf(n1));
mod2 i2 (.p1_intf(n2.usage2));
assign n1.tx_rx1 = n2.tx_rx1;
endmodule // top
Related Topics
HDL Limitations in the Tessent Shell Flow [Tessent Shell Reference Manual]
package pack1;
typedef struct packed {
bit clk;
logic [1:0] data;
interface intf1;
logic tx_rx1;
logic tx_rx2;
modport usage1 ( input tx_rx1, output tx_rx2 );
modport usage2 ( input tx_rx2, output tx_rx1 );
endinterface
package pack1;
typedef struct packed {
logic clk_div_0;
logic [1:0] clk_div;
} clk_div_T;
endpackage
Run the delete_connections command in insertion mode as follows to disconnect the bit:
INSERTION> delete_connections clk_div_q.clk_div_0 -net_name unconnected_clk_div
package pack1;
typedef struct packed {
logic clk_div_0;
logic [1:0] clk_div;
} clk_div_T;
endpackage
module top;
pack2::p_array_struct_p p1_struct;
mod1 i1 (.p1_struct(p1_struct));
mod2 i2 (.p1_struct(p1_struct));
endmodule; // top
This invocation of the delete_connections command in insertion mode disconnects the input pins:
INSERTION> delete_connections {i1/p1_struct i2/p1_struct}
module top;
pack2::p_array_struct_p p1_struct;
mod1 i1 (.p1_struct());
mod2 i2 (.p1_struct());
endmodule; // top
module top;
pack2::p_array_struct_p p1_struct;
logic [1:0] tessent_filler_net, tessent_filler_net_ts1;
mod1 i1 (.p1_struct({p1_struct[3][2].clk, tessent_filler_net,
p1_struct[3][1], p1_struct[3][0], p1_struct[2:0]}));
mod2 i2 (.p1_struct({p1_struct[3][2].clk, tessent_filler_net_ts1,
p1_struct[3][1], p1_struct[3][0], p1_struct[2:0]}));
endmodule; // top
Run the delete_connections command in insertion mode as follows to disconnect the fanout of the
interface port:
INSERTION> delete_connections p1_intf.tx_rx1
module top;
intf1 n1 ();
mod1 i1(.p1_intf(n1));
mod2 i2(.p1_intf(n1));
endmodule // top
module top;
intf1 n1_ts1();
intf1 n1();
mod1 i1(.p1_intf(n1_ts1));
mod2 i2(.p1_intf(n1));
assign n1_ts1.tx_rx1 = n1.tx_rx1;
endmodule // top
Related Topics
Examples Using the create_connections Command With Complex Signals
HDL Limitations in the Tessent Shell Flow [Tessent Shell Reference Manual]
package pack1;
typedef struct packed {
bit clk;
logic [1:0] data;
} struct_p;
typedef struct {
bit clk;
logic [1:0] data;
} struct_u;
endpackage
package pack2;
import pack1::*;
// 2D packed array of packed struct
typedef struct_p [3:0][2:0] p_array_struct_p;
// compound unpacked array
module top;
pack2::p_array_struct_p p1_struct;
mod1 i1 (.p1_struct(p1_struct));
mod1 i2 ();
mod2 i3 (.p1_struct(p1_struct));
endmodule // top
Run the move_connections command in insertion mode to move the complex signal from one pin to
another:
INSERTION> move_connections -from i1/p1_struct -to i2/p2_struct[1]
module top;
pack2::p_array_struct_p p1_struct;
pack2::u_array tessent_internal_net;
wire [35:0] tessent_filler_net;
mod1 i1 (.p1_struct());
mod1 i2 (.p2_struct(tessent_internal_net));
mod2 i3 (.p1_struct(p1_struct));
assign tessent_internal_net = '{p1_struct, tessent_filler_net};
endmodule // top
The following diagram shows the modified design with the moved connection in teal:
module top;
mod1 i1 (.p1_struct(36'b1));
endmodule // top
import pack1::*;
module mod1(input pack2::p_array_struct_p p1_struct );
struct_p signal1;
logic [1:0] data;
assign signal1 = 3'b0; // Original driver of signal1
submod2 i1(.p1_struct(signal1));
submod3 i2(.p1_struct());
always_ff @(posedge p1_struct[3][2].clk) begin
data <= signal1.data;
end
endmodule // mod1
Run the move_connections command in insertion mode to move the complex signal from a net to a pin:
INSERTION> move_connections -from i1/signal1 -to i1/i2/p1_struct
import pack1::*;
module mod1(input pack2::p_array_struct_p p1_struct );
struct_p signal1;
logic [1:0] data;
pack1::struct_p signal1_ts1;
assign signal1 = 3'b0;
submod2 i1(.p1_struct(signal1_ts1));
submod3 i2(.p1_struct(signal1_ts1));
always_ff @(posedge p1_struct[3][2].clk)
begin
data <= signal1_ts1.data;
end
endmodule // mod1
Related Topics
Examples Using the create_connections Command With Complex Signals
HDL Limitations in the Tessent Shell Flow [Tessent Shell Reference Manual]
Example 1
This example shows how to use the -parameter_values switch to change the module. The following RTL
describes the original design:
Use the replace_instances command to replace module SubA with module SubB:
replace_instances u1 -with_module SubB \
-parameter_values {data.L_WIDTH 64 data.H_WIDTH 64}
Example 2
This example shows how to use the -parameter_values switch to change the module and the
SystemVerilog interface parameters. The original RTL before editing is the same as Example 1. The
command is as follows:
replace_instances u1 -with_module SubB \
-parameter_values {data.L_WIDTH 16 data.H_WIDTH 16}
Example 3
This example shows how to use the -with_module switch to change the module without specifying a
new parameter list. In this case, the tool adds a new SV interface with the default parameter values. The
original RTL before editing is the same as the “Example 1” on page 106. The command is as follows:
replace_instances u1 -with_module SubB
The resulting RTL after editing has a new SV interface net because the interface changed to the default of
H_WIDTH= 64 and L_WIDTH=8:
Related Topics
Examples Using the create_connections Command With Complex Signals
HDL Limitations in the Tessent Shell Flow [Tessent Shell Reference Manual]
create_connection I1 u1/A0
create_connection I2 u1/A1
create_connection I2 u3/R
modd
{u4_O1}
{u5}
{u4_O1}
// /u5/u1 and02
// A0 I /u4/u3/Q
// A1 I /u4/u5/u2/Q
// Y O /u5/u2/D
{insertion_inverter}
# First, insert the pad cells for all inputs of the netlist
set inputPortList [ get_ports -of_module $top_module -direction input ]
foreach_in_collection inputPort $inputPortList {
# Second, insert the pad cells for all outputs of the netlist
set outputPortList [ get_ports -of_module $top_module -direction output ]
foreach_in_collection outputPort $outputPortList {
# Next, add the JTAG IO pins and their respective PAD cell. Connecting
# them up.
Simulation Contexts
Tessent Shell provides simulation contexts to aid your design analysis and introspection efforts. You can
use these contexts to create "simulation scratch pads" for rapid investigation of good-machine behavior of
specific portions of your design.
• copy_simulation_context — Copies the simulation values and forces from one simulation context
to another.
• report_simulation_contexts — Lists the available simulation contexts and indicates the current
simulation context.
• simulate_clock_pulses — Pulses one or more clocks within the current simulation context.
• report_simulation_contexts — Lists the available simulation contexts and indicates the current
simulation context.
• trace_flat_model — Traces the flat model within the current simulation context. This command
always operates within the current simulation context.
• Operating on a flattened design, and have read in the test procedure file (if available). At this
point, the values specified in the setup, shift, capture, and load_unload procedures are in place in
the design when you set the corresponding simulation context as current.
Example
For example, if you want to trace the design based on the values specified in the shift procedure, use
the set_current_simulation_context stable_shift command. When you use this command with a small
design containing two 2-cell scan chains, the values on the gate_pins display in the Tessent Visualizer
Flat Schematic as shown in Figure 12.
In this case, no values are forced except for sen (scan enable), which is set to 1. The four possible
simulation values are 0, 1, X, and Z.
In addition to viewing values in the Flat Schematic, you can get the current simulation values of gate_pins
using any of the following techniques:
• Issue the command "set_gate_report simulation_context," after which you can use the
report_gates command and the Flat Schematic to display the simulated values from the current
simulation context:
ANALYSIS> set_gate_report simulation_context
ANALYSIS> report_gates sc2_f1
// /sc2_f1 sff
// D I (X) /d3
// SI I (X) /si2
// SE I (1) /sen
// CLK I (X) /clk
// Q O (X) /an_2/A2 /sc2_f2/SI
// QB O (X)
You can use simulation context functionality for different types of analysis. For example, by default the
trace_flat_model command uses the stable_after_setup values as a simulation background. You can now
change it to stable_capture, for example, if you want to trace based on sensitized paths during capture.
Another example is to copy an existing simulation context to a new one, force some simulation value
changes, then evaluate the results in the circuit after simulating the forces or clock pulses.
• set_current_design
• write_design
• update_icl_attributes_from_design
The tessent_design_gate_ports attribute of the ICL port object is automatically updated when you use any
of the following commands:
• set_current_design
• get_ports -of_icl_ports
• get_pins -of_icl_pins
• get_pins -of_icl_ports
• update_icl_attributes_from_design
• write_design
For ICL ports and instances, the ICL matching performed by set_current_design is insufficient if the
current ICL model does not include the complete ICL of child instances under the physical blocks. In
these cases, the ICL matching is completed later in the flow using the following commands:
• get_ports -of_icl_ports
• get_pins -of_icl_pins
• get_pins -of_icl_ports
• update_icl_attributes_from_design
• write_design
Use the ‑use_path_matching_options option with get_pins, get_ports, or get_instances to match a name
pattern to the ports and pins in the current design when the TCD of instruments dumped during RTL
mode is loaded in no RTL flow.
• tessent_design_gate_ports — This attribute refers to the design port corresponding to the ICL
port. The attribute value is the name of the design port as it appears in the netlist.
◦ forced_high_input_port_list
◦ forced_low_input_port_list
◦ forced_high_output_port_list
◦ forced_low_output_port_list
◦ forced_high_internal_input_port_list
◦ forced_low_internal_input_port_list
◦ tessent_ground_port_list
◦ tessent_power_port_list
◦ tessent_clock_domain_labels
The attribute value is a list of names. The names at the even index positions in the list are the
RTL names of the ports in the attribute name lists, and the names at the odd index positions are
the netlist names of those ports.
The update_icl_attributes_from_design command has one Boolean option: -verbose. This option
enables warnings when a port name cannot be found or matched in the netlist. The command is
invoked automatically by the "write_design -tsdb" command. It also runs automatically as part of
the insert_test_logic command just after insertion.
Related Topics
update_icl_attributes_from_design
®
1. Apply the transformation performed by the Synopsys Design Compiler tool (dc_shell) with
"change_names ‑rules verilog" to remove the escaping and replace special characters with an
underscore ("_"). A single underscore matches multiple underscores.
®
2. Apply the transformation performed by Cadence Genus™ Synthesis Solution to get the gate
name from the RTL name. All strings and numerical indices in the RTL name are preserved. Only
the delimiters are changed.
3. Apply the Genus transformation described in rule #2 but with escaping removed and special
characters replaced with an underscore ("_") as in rule #1.
4. Apply bit-blasted escaped names generated by a layout tool. The bit select is incorporated into
the escaped name.
• Component Names — All strings between non-escaped delimiters (component names) in the
name to be looked up (lookup name) must match exactly to corresponding strings in a netlist
name unless they contain special characters (refer to the following). The recognized delimiters
are ‘.’, ‘/’, ‘[]’, ‘_’.
An example lookup name:
abc.def
The ‘abc’ string in the name to be looked up must exactly match the string before the first
delimiter in a netlist name. The ‘def’ string in the name to be looked up must exactly match the
string after the last delimiter in the same netlist name.
• Escaping — Escape characters are not matched. They are only used to direct the matching
procedure.
• Delimiters — Delimiters in the lookup name are used only to extract the component names.
All component names after the first are assumed to have a leading delimiter and optionally a
trailing delimiter in a netlist name. There are two cases to consider when matching the delimiters
for a component name in the netlist:
• Special Characters in the Lookup Name — When matching to a non-escaped name in the
netlist, any special characters (not allowed by the language without escaping) in the lookup name
are replaced with the ‘_’ character. Matching allows truncation in the netlist name down to two
trailing ‘_’ characters.
Note:
This truncation rule applies only to ‘_’ characters derived from special characters, not
delimiters.
• Bit Select Lookup Name — A bit select lookup name (last component name is an index) can
match a one-dimensional vector with the final bit select applied to the match or match directly to a
bit select.
Related Topics
add_rtl_to_gates_mapping
delete_rtl_to_gates_mapping
report_rtl_to_gates_mapping
Related Topics
get_modules
get_instances
get_icl_modules
get_icl_instances
get_pins
get_ports
get_icl_pins
get_icl_ports
• Reduced memory footprint and CPU requirements to perform the given task.
• DFT performed earlier at block level and out of design critical path.
For information about the Tessent Shell two-pass DFT insertion flow for hierarchical designs, refer to
“Tessent Shell Flow for Hierarchical Designs” on page 217.
• Physical block, block, core, child core — These terms are interchangeable and refer to layout
regions below the chip level that you can instantiate within a chip, or across multiple chips. Blocks
are logical entities that remain intact through tapeout. You perform synthesis on these blocks
independent of the rest of the chip design.
The term "wrapped core" is a specialized type of block. Refer to “Wrapped Cores and Wrapper
Cells” on page 127 for details.
• Chip — The chip is the top-level physical block — that is, the entire design — in which you
typically find the pad I/O macros and clock controllers.
The chip and upper-level blocks in designs with more than two hierarchical levels are often referred to as
the parent blocks and the tasks related to them as occurring at the parent level.
Pattern Retargeting
Pattern retargeting is the process by which Tessent Shell preserves the ATPG patterns associated
with cores for purposes of reuse when testing the logic at the chip (or parent) level. You do not have to
regenerate the patterns when you process the chip. Instead, you retarget the core patterns to the chip
level. Every instantiation of a core includes its associated ATPG patterns.
The pattern retargeting process consists of generating patterns for all cores, retargeting the core‑level test
patterns to the chip level, and generating patterns for the top-level chip logic only. This is also referred to
as ATPG pattern retargeting or scan pattern retargeting. For details, refer to "Scan Pattern Retargeting" in
the Tessent Scan and ATPG User’s Manual.
The wrapping mechanism that you use for these blocks makes use of the functional flops that are already
present at the boundary of these blocks. These flops are called shared wrapper cells (or sometimes
wrapper cells) because they share the responsibility of their original functional use as I/O flops with
isolating the core.
Optionally, you can add dedicated wrapper cells. These wrapper cells are added on primary inputs or
primary outputs of a physical block that fan out from or fan into large logic cones. By adding the dedicated
wrapper cell, you can test the logic cone during internal testing of the core.
Shared and dedicated wrapper cells form the wrapper chains. Logic located within the wrapper chains is
tested during internal testing of the core, called intest. Logic located outside the wrapper chains is tested
during the external testing of the core, called extest.
Internal mode is the view into the wrapped core from the wrapper cells. That is, the logic completely
internal to the core. Tessent Shell retargets internal mode ATPG patterns during ATPG pattern generation
for the chip-level design.
External mode indicates the view out of the wrapped core from the wrapper cells. That is, the logic that
connects the wrapped core to external logic. Tessent Shell uses the external mode to build graybox
models, which are used by the internal modes of their parent physical blocks.
As shown in the following figure, based on chip pin availability, you can test the Red, Pink, Brown, and
Green cores in internal mode at the same time when the on-chip clock controllers (OCCs) and EDT logic
blocks inside the wrapped cores are active.
You can test one or more wrapped cores in internal mode based on several factors, such as availability of
chip-level pins, tester channels, tester memory, and power dissipation. The OCCs and EDT compression
logic at the chip level are inactive during internal mode.
In external mode, the wrapped cores at the given physical hierarchy participate. The OCCs and EDT logic
blocks inside the cores are inactive, and the OCCs and EDT logic blocks outside the wrapped cores are
active. In a typical external mode configuration, one EDT logic block is used to test the logic outside the
wrapper chains, as shown in the following figure.
External mode also includes the wrapper chains within the wrapped cores. Tessent tests the logic outside
of the wrapper chains during external mode.
To help facilitate test setup and automation, use a 1149.1 TAP controller along with an IJTAG network.
You may also need to insert a Test Access Mechanism (TAM) to guide how these wrapped cores may be
tested.
Standard OCCs include built-in clock selection, clock-chopping, and clock-gating functionality. For more
information about standard OCCs, as well as parent and child OCCs, refer to "Tessent On-Chip Clock
Controller" in the Tessent Scan and ATPG User’s Manual.
Graybox Model
Graybox models are wrapped core models that preserve the core’s external mode logic, which includes
the wrapper chains, along with the portion of the IJTAG network that needs to be tested along with the
logic at the next physical level. The purpose of graybox models during hierarchical test is to retain the
minimum logic required to generate ATPG patterns for the internal modes of the parent physical blocks.
When testing the next physical level, using graybox models enables Tessent Shell to load the design
faster than loading the full-chip design.
For details, refer to "Graybox Overview" in the Tessent Scan and ATPG User’s Manual.
The following figure shows a graybox model in which the internal logic of the wrapped core is removed.
This graybox model implementation has separate input and output wrapper chains with separate scan
enable signals for each wrapper chain type.
The input and output wrapper chains do not have to be separate, and the scan enable signals also do
not need to be separate. You can use one scan enable signal with other mechanisms to enable how the
wrapper chains operate.
Clocking Architecture
Resource Availability
Test Scheduling
Specific Tasks That May Require Planning
Sample DFT Planning Steps
Clocking Architecture
In functional mode, the clock architecture can include clocks that are divided or multiplied. The original,
divided, and multiplied clocks can be synchronous with each other within a core, and sometimes they can
be synchronous across hierarchical cores. Divided clocks can also be asynchronous across hierarchical
cores.
When generating ATPG patterns at the core level that you want to retarget, the core must include an OCC
to control clocking as described in “On-Chip Clock Controller” on page 129. How you implement OCCs in
the cores depends on various factors:
• How the clocks are balanced — The most common methods for clock balancing are clock tree
synthesis and clock mesh synthesis. The method you use can influence what type of OCCs you
insert (standard, parent, child).
• OCC behavior during intest and extest — How the OCCs need to behave during intest and
extest of the cores also dictates how you should implement the OCCs.
Some OCCs are only required to perform clock chopping or clock-gating functionality. Sometimes OCCs
may be necessary to mux clocks in for test purposes. You can use chip-level clocking to determine the
architecture and OCC types (standard, parent, child) that you should use within the wrapped cores.
132 Tessent™ Shell User’s Manual
DFT Architecture Guidelines for Hierarchical Designs
Resource Availability
Refer to “Clocking Architecture Examples” on page 997 for examples of clocking architectures with
OCC.
Resource Availability
Resource availability plays a significant role in how you implement DFT. For example, at the chip level,
the number of pins available for test limits the number of EDT channel pins that you can use. The EDT
channel pins may not be associated with only one EDT controller; they could be connected to multiple
EDT controllers.
Likewise, the tester may have limited channel pins available for connecting to EDT channel pins on the
chip, which means that you may need to consider the tester’s memory allocation for storing the test
patterns. Available memory on the tester dictates whether you need to split the pattern set or test multiple
cores in parallel.
How you want to test the wrapped cores dictates how you create the test-access mechanism (TAM).
TAMs carry scan data in and out of the chip for each group of wrapped cores you intend to run in parallel.
You need a TAM if you have limited chip pins and are reusing them to connect to multiple EDT controllers
inside the wrapped cores.
The TAM logic is dependent on how and when the cores get tested. The TAM schedules the tests for the
wrapped cores at the top level, and it enables access to the chip level so that you can run these tests.
The TAM also needs input from floor planning and placement of these cores to avoid routing congestion;
you need to account for the proximity of the cores that you want to test in parallel and for the location of
the chip pins that connect to them.
Test Scheduling
Deciding which wrapped cores need to be tested at the same time on the tester—that is, in parallel—
depends on a number of factors.
Streaming Scan Network (SSN) on page 483 enables you to test the maximum number of cores within
your power budget in a single pass. Because all cores accessible are through the SSN bus, you can
delay the decision of which cores to run concurrently on the tester until ATPG or pattern retargeting. The
SSN ScanHost drives the TestKompress EDT channels, decoupling them from top-level input and output
pins.
SSN handles cores with different pattern counts by manipulating the network’s bandwidth during
retargeting and ATPG. The tool transfers network bandwidth from the cores with fewer patterns to the
cores with more patterns. Through this bandwidth management, cores with different pattern counts finish
on the tester closer together and have less padding than non-SSN patterns. Dynamic power is inherently
reduced with SSN as each core independently transitions between shift and capture, significantly
reducing the chance of a coincident clock edge during shift or capture.
SSN efficiently tests identical cores when you add the On-Chip Compare on page 537 feature to the
ScanHost. On-chip compare mode enables you to test any number of identical cores in constant time
because it shares the same input, mask, and expected data across all the active cores.
For non-SSN designs, you may have to test the chip in pieces. The test scheduling for non-SSN designs
depends on the following factors:
• Number of patterns
• Channel sharing of cores within modular EDT or sub-chip architectures; cores need to be tested
where channels are shared
To achieve the optimal test schedule for a chip with a given number of hierarchical cores, generate an
optimized compression for the internal mode of the cores. Within each core, compression could be based
on asymmetric channel configurations. Designs with no X-sources require fewer output channels.
You can use the analyze_compression command to optimize compression. It requires gate-level scan
stitched netlists. BIST logic used for memories needs to be scan stitched and included for the best
compression estimation.
The following example shows a schedule based on compression analysis. Suppose 64 pins are available
at the chip level as channel pins. There are twelve instances of blk_a, eight instances of blk_b, four
instances of blk_c, and one instance each of blk_d, blk_e, and blk_f. The highlighted instances provide
the best utilization of resources.
Suppose you plan to group identical core instances for testing in parallel. The 40 input channel pins can
broadcast to the blk_a instances, providing the same input data to all the cores. Two output channels from
each instance require a total of 24 additional pins. When testing the 12 blk_a instances together, use the
64 available chip pins.
Next, test the eight instances of blk_b together by applying the retargeted patterns at the chip level. The
32 input channel pins broadcast to the eight blk_b instances. In addition, each instance uses four output
channels for a total of 64 pins. After that, test the four blk_c instances with eight input channels broadcast
and 14 output channel pins per instance of blk_c. Test the blk_f and blk_e instances together because
they have similar complexity and channel requirements. Test the blk_d instances that require the most
channels by themselves.
1. Group identical core instances and run them at the same time.
Note:
This example may not be applicable to all designs.
1. Tally how many chip pins are available for scan channels and for ATE channels that are required
for test. Use the smaller value when planning for the number of chip pins available for test.
2. Use a dedicated test clock apart from existing functional clocks. This clock can use the TCK
signal as the clock source.
3. Assess the functional clock architecture for the chip and plan your OCC configuration. Consider
how many OCCs you need, their OCC types (child, parent, standard), and where they need to be
inserted for wrapped cores and the chip.
4. Based on the design size and pattern count, determine the number of channels required for each
core.
5. Determine test scheduling for cores that you can run in parallel as described in “Test Scheduling”
on page 133.
• Connect the external mode chains directly to primary pins without any EDT compression logic.
However, this can cause routing congestion.
• Connect the external mode chains when there is EDT compression logic. There may be EDT
compression logic built inside the wrapped cores for the purpose of external mode, which leads
• TSDB — The Tessent Shell Data Base is a common database used by all the Tessent
products, which means that test information generated by one tool is recognized and usable by
downstream tools. For details, refer to TSDB Data Flow for the Tessent Shell Flow.
• IJTAG automation — As described in “What Is Tessent Shell?” on page 27, IJTAG provides
many benefits, including DFT setup reuse from blocks and sub-blocks to higher levels in the
hierarchy. This is essential for using the bottom-up DFT insertion flow as described in “Tessent
Shell Flow for Hierarchical Designs” on page 217.
• Retargetable ATPG patterns — Using OCCs inside hierarchical cores makes the ATPG patterns
self-contained, which enables them to be retargeted as described in “On-Chip Clock Controller”
on page 129.
Note:
Using the following Tessent automated features depends on your design implementation and how
you are performing the two-pass RTL and scan DFT insertion flow for hierarchical designs as
described in “Tessent Shell Flow for Hierarchical Designs” on page 217.
• Reset control — Tessent automatically fixes asynchronous resets with pre-DFT DRCs that
are enabled when you set the ‑logic_test option of set_dft_specification_requirements to "on".
Tessent deactivates the reset during shift and tests the reset during capture. Optionally, provision
is provided to override the reset during capture by deactivating it. This auto-fix is beneficial when
the functional reset is generated internally.
• Boundary scan chain included for ATPG — At the chip level, you can segment the boundary
scan chain into smaller chains to be connected as compressed scan chains and controlled during
ATPG. Optionally, you can configure these boundary scan chains to participate during capture or
use them during shift.
• Use memory to target shadow logic faults during logic test — During logic test insertion,
inserted memories get bypassed. The bypass can be built within the memories themselves or
built within the MemoryBIST infrastructure. You can use IJTAG-controlled internal Test Data
Registers (TDRs) to enable bypass or to use the memories during logic test. By using the
memories during logic test, the shadow logic around the memories can be tested. This requires
an ATPG model for the memories. For details, refer to the Comprehensive RAM Primitive
information in the Tessent Cell Library Manual.
• Unused scan chains — During scan insertion and stitching if there are any over-specified scan
chains, Tessent Scan automatically adds the unused scan chains with pipeline registers. This is
beneficial when not all the scan chains of the inserted EDT compression logic are utilized.
Note:
We recommend running RTL DFT analysis between the second insertion pass of TK/LBIST and
OCC and before synthesis. This enables you to insert the DFT signals for EDT logic and test
points before performing test point insertion. When you insert TK/LBIST or EDT before test points,
you must account for additional scan cells when sizing the EDT IP.
You can perform RTL DFT analysis as part of a flow for flat, hierarchical, or tiled designs. Refer to Tessent
Shell Flow for Flat Designs, Tessent Shell Flow for Hierarchical Designs, and How the DFT Insertion
Flow Applies to Hierarchical Designs for more information about the full flow. X-bounding and test point
insertion can be performed at the chip, physical block, or sub-block level.
Note:
We recommend that you run wrapper analysis at the physical block level.
Note:
You must run the set_dft_specification_requirements ‑logic_test on command before running the
check_design_rules command to apply pre-DFT rules to your RTL design.
• DFT_C6 — Runs with the check_design_rules command and verifies that each scannable flip-
flop clock port has a defined clock in its controlling fan-in.
• DFT_C9 — Runs with the check_design_rules command and verifies that it is possible to disable
the asynchronous set or reset pin of each scannable flip-flop.
• E5 — Runs with the check_design_rules command and verifies the floating and constant logic (in
RTL mode).
• SW7 — Runs automatically with the analyze_wrapper_cells command and checks for
valid locations to insert dedicated wrapper cells that comply with all specifications and tool
requirements.
• SW8 — Runs automatically with the analyze_wrapper_cells command and checks that locations
to insert dedicated wrapper cells are editable RTL constructs.
• XB3 — Runs automatically with the analyze_xbounding command and checks that locations to
insert X-bounding logic are editable RTL constructs.
As an additional check, you can use the -validate_only option on the process_dft_specification command
to check the DftSpecification for the Tessent IP without inserting it.
RTL IP Insertion
Use one general process for RTL insertion of all Tessent intellectual property (IP), such as MBIST, EDT,
LBIST, test points, and SSN. Repeat the steps outlined in this section in multiple passes corresponding to
different combinations of IP and levels of hierarchy.
Use the following steps to insert the IP at RTL:
1. Set up your work area by setting the context to dft -rtl, setting the design level, and loading any
required libraries. Refer to “Tessent Shell Workflows” on page 189.
2. Load your RTL design, by either reading the source code for the first time or reading the design in
progress from the TSDB files. Refer to “Loading the Design” on page 199.
3. Check design rules with each subsequent step. Refer to “RTL Design Rule Checks” on
page 142.
4. Specify the DFT logic and options you want to insert in this pass.
b. Specify options to configure the IP, the optimization algorithms, and the generation process.
The exact commands depend on the IP you are inserting. Refer to “Table 16” on page 144
for a list of references.
c. Specify the large IP modules such as MBIST, EDT, LBIST controllers, IJTAG, and SSN
networks. Refer to Configuration-Based Specification in the Tessent Shell Reference Manual.
d. (Optional) Create post-insertion procs that perform design editing. Refer to “First DFT
Insertion Pass: Top With MemoryBIST, BISR, and Boundary Scan” on page 449.
Note:
This step is required for Tessent DFT logic such as test points (analyze_test_points),
X‑bounding (analyze_xbounding), and wrapper cells (analyze_wrapper_cells). It does not
apply for IP that you specify completely, such as in-system test and LBIST.
7. Prepare for synthesis by following the steps in “How to Set Up Third-Party Synthesis” on
page 733 and “How to Preserve DFT Logic Inserted at RTL” on page 170.
Refer to “Tessent Shell Workflows” on page 189 and “Tessent Shell Automotive Workflow” on
page 405 for examples of these steps applied to various design styles and situations.
Your unique design needs may require a combination of command options and DftSpecification
properties. Refer to the individual IP documentation for details on how to configure the IP for insertion in
your RTL design.
Dedicated Wrapper Cells “Wrapper Analysis and Dedicated Wrapper Cell Insertion at RTL” on
page 176
In-System Test "IP Insertion and Configuration" in the Tessent In-System Test User’s
Manual
On-Chip Clock Control (OCC) "Tessent On-Chip Clock Controller" in the Tessent Scan and ATPG
User’s Manual
Editable Nodes
(visible RTL nets Recommended Insertion Flow to Improve ATPG and BIST
Score and expression Metrics
boundaries)
Medium Medium percentage RTL insertion likely enhances the testability of the block.
Gate-level insertion may provide higher testability.
If the sub-blocks have many logic elements tied constant or left floating, the tool can increase the
suitability score by optimizing the sub-blocks’ content. A design with many sub-blocks with “High” RTL
code complexity does not necessarily have a “Low” suitability score.
For example, consider the hierarchical design in Figure 20.
• M2: High
• Top: Low
The code complexity score of module M2 might be considered “Low” if the number of testable editable
nodes become a high percentage after excluding the constant and floating nodes. This transformation
impacts the computation of the global RTL test point suitability score of the design. The result may be
“High” RTL insertion suitability scores.
Detailed Metrics
RTL code complexity analysis provides detailed metrics on your RTL design.
The code complexity analysis provides a summary code complexity score, a summary test point suitability
score, and design metrics. The available design metrics change depending on when you perform
complexity analysis:
• Prior to quick synthesis, the tool reports only high-level information about your design:
◦ Design profile
◦ Library profile
◦ Library profile
• After test point analysis, the tool also reports the number of test points targeted for visible nodes
and expression boundaries.
• Then, after test point insertion, the tool also reports the number of test points collapsed to inside
control logic and functional blocks.
• You can run complexity analysis in a high-effort mode to provide greater detail as part of the
complexity report after quick synthesis. Refer to the report_rtl_complexity command for more
information.
When you run complexity analysis with the “-effort high” option, the tool performs test point
analysis but not insertion. It considers the entire design for test points, and compares the analysis
to the editable RTL nodes. Metrics included in the high-effort report:
◦ Information about test points that target editable nodes of the RTL
◦ Information about test points that target non-editable nodes of the RTL
• Gate nodes directly mapped to RTL nets — Examples include nets, and port pins declared and
visible at RTL. These gate nodes are fully editable, and the tool can insert test points at the gate
node locations of the closet visible RTL nets. The Example shows these RTL constructs in green
text.
The tool inserts the CP/OP at stems instead of branches. When dealing with directly visible
nodes, the tool targets individual stems instead of branches. With RTL, the same statement or
equation may be used multiple times. In that case, if multiple test points target the same stem in
different locations in the RTL, Tessent merges the multiple stem test points into a single branch
test point.
• Gate nodes directly mapped to RTL expression boundaries — Examples include RTL sub-
expressions. These gate nodes are fully editable, and Tessent can insert CPs and OPs at the
boundaries of RTL expressions and sub-expressions. Because these expressions typically use
the same variables, Tessent considers branch locations during analysis. The Example shows
these RTL constructs in red text.
• Gate nodes mapped inside functional blocks — Examples include adders. These gate nodes
are not editable, and the tool cannot insert test points.
• Gate nodes mapped inside control logic — Examples of sequential control statements include
if-then-else and case. These gate nodes are not editable, and the tool cannot insert test points.
The Example shows these RTL constructs in blue text.
Example
This example illustrates the terminology:
Gate instances Cell instances inferred by quick rtlc0_2, rtlc_16, rtlc_15, rtlc_14,
synthesis rtlcreg_data_out
Visible RTL nets All nets or portNets represented clk, data_in1, data_in2, data_out,
by RTL signals; both internal nets reset_h
of ports and pure nets.
RTL expressions (sub- All RTL expressions such as Expression 1: (reset_h == 1'b1)
expression) arithmetic, logical, and function
calls Expression 2: (data_in1 &
data_in2)
Expression 3: (data_in1 &
data_in2) | data_in2
Corresponding gate instances are:
Expression 1: rtlc_14
Expression 2: rtlc0_2
Expression 3: rtlc0_2, rtlc_16
From the example, the tool may identify the following editable testable gate nodes (pins) as potential CPs
and OPs:
• rtlc0_2/A
• rtlc0_2/B
• rtlc0_2/Z
• rtlc_16/A
• rtlc_16/B
• rtlc_16/Z
• rtlcreg_data_out/Q
• data_in1
• data_in2
• data_out
The tool identifies the gate nodes (pins) on the clock and reset paths as editable but not testable and not
suitable as potential test points. Example untestable gate nodes:
• clk
• rtlcreg_data_out/CP
• reset_h
• rtlc_14/A
• rtlc_14/Z
Pre-DFT DRCs
Your design must pass the pre-DFT design rule checks (DRCs). Refer to “RTL Design Rule Checks” on
page 142 for more information.
Tip
Use a consistent naming convention such as a prefix for non-scan elements and use the
introspection commands to find them.
To refer to non-scan elements in the RTL code, use the add_nonscan_instances command with the
-rtl_reg switch. For example:
Quick Synthesis
Control quick synthesis options with the set_quick_synthesis_options command. Options include adding
parallel synthesis and skipping synthesis of large two-dimensional arrays.
• set_test_point_analysis_options
• set_test_point_insertion_options
• set_test_point_sharing_restrictions
The following example uses the set_current_design command to select the portion of the design for test
point insertion, the analyze_test_points command to automatically identify where to insert control points
and observe points, and the process_dft_specification command to insert the test points:
read_cell_library <library_path>/NangateOpenCellLibrary.atpglib \
../data/picdram.atpglib
read_verilog ../data/piccpu1.v
read_verilog ../data/picdram.v -blackbox -exclude
read_cell_library ../data/cell_selection
set_cell_library_options -default_dft_cell_selection tc_selection
set_current_design piccpu1
set_design_level physical_block
add_clocks 0 clk
add_clocks 0 ramclk
add_black_box -instance bbox
check_design_rules
analyze_test_points
report_test_points
create_dft_specification
process_dft_specification
The tool creates the following RTL after test point insertion:
top_rtl_tp_op_holder tessent_persistent_cell_op_holder_instance_1
(.funcin(tessent_persistent_cell_op_test_out_1), .ff_out());
Synthesis infers the flip-flop of this observe point by the test data output
signal "tessent_persistent_cell_op_test_out_1". The output of the flip-flop
"tessent_persistent_cell_op_test_out_1" connects to an empty instance
"tessent_persistent_cell_op_holder_instance_1" that does not include a flip-flop. When Tessent detects
this kind of observe point, it automatically transforms it to a regular OP, and does not share the same flip-
flop with other OPs in the same group.
Example
set_context dft -rtl -test_points
read_verilog ../prerequisites/insert_test_point_logic.tshell/rtl/tp.v
set_current_design top
add_clocks 0 clk
add_clocks 1 rstn
check_design_rules
analyze_test_points
create_dft_specification
process_dft_specification
Process
Use the following process to add OST observe points in RTL.
Note:
The tool requests an LBIST-OST license.
Note:
After inserting test logic, you can synthesize the design. The behavioral scan cell flip‑flops
must have the set_dont_scan mark to prevent the synthesis tool from replacing them with
scan flip-flops.
The OST observe points must have the set_preserve_boundary mark to prevent their
removal by synthesis tool optimizations. The other observe and control test point types
also use this mark during synthesis.
This flow is analogous to the post-synthesis insertion flow in the "dft -scan -test_points" context.
The process_dft_specification command inserts behavioral code for the ts_0_osp_sff scan flip-flop in
Figure 22 if use_rtl_cells is on. The code is a pos-edge RTL scan cell, PosedgeSff.
module cb_oc_rtl1_tp_tessent_posedge_scan_cell (
input wire d,
input wire si,
input wire se,
input wire clk,
output reg so
);
wire mux_net;
assign mux_net = (se) ? si : d;
always @ (posedge clk) begin
so <= mux_net;
end
endmodule
You can substitute the PosedgeSff behavioral cells with actual scan cells later in the flow.
The insertion process creates the InsertObservePointV95 function call and modifies the expression to use
it:
function InsertObservePointV95;
input sig_in;
input TM;
begin
InsertObservePointV95 = sig_in & TM;
end
endfunction
assign ts_temp_0 = (!data_conflict);
cb_oc_rtl1_tp_sff_osp ts_0_osp_dff_instance_1_0_0 (
.clk(clk_ts1),
Figure 23 shows the schematic of the cb_oc_rtl1_tp_sff_osp cell and how it includes the rest of the OST
scan cell.
Core(cb_oc_rtl1_tp_tessent_osp) {
Scan {
module_type : observation_scan_cell;
is_hard_module : 1;
internal_scan_only : 0;
traceable : 0;
Clock(op_clk) {
off_state : 1'b0;
}
ScanEn(se) {
active_polarity : all_ones;
}
ScanChain {
length : 1;
scan_in_port: si;
scan_out_port: q;
The tool can generate a CTL file for third-party scan insertion.
Limitations
Insertion of scan cells inside always-clocked logic blocks is not yet possible. If you try to insert an OST
observe point inside an always-clocked logic block, the tool replaces it with a regular test point.
DftSpecification(module_name,id) {
…
use_rtl_cells : on | off | auto ;
…
}
Examples
Example 1
This example specifies "use_rtl_cell on":
read_cell_library ../45nm/Tessent/NangateOpenCellLibrary.atpglib \
../data/picdram.atpglib
read_verilog ../data/piccpu1.v
read_verilog ../data/picdram.v -blackbox -exclude
read_cell_library ../data/cell_selection
set_cell_library_options -default_dft_cell_selection tc_selection
set_current_design piccpu1
set_design_level physical_block
add_clocks 0 clk
add_clocks 0 ramclk
add_black_box -instance bbox
check_design_rules
analyze_test_points
The control point and observe point instruments instantiate the RTL behavior version of the DFF defined
in the RTLCells directory as follows:
Example 2
This example specifies "use_rtl_cell off":
read_cell_library ../45nm/Tessent/NangateOpenCellLibrary.atpglib \
../data/picdram.atpglib
read_verilog ../data/piccpu1.v
read_verilog ../data/picdram.v -blackbox -exclude
read_cell_library ../data/cell_selection
set_cell_library_options -default_dft_cell_selection tc_selection
set_current_design piccpu1
set_design_level physical_block
add_clocks 0 clk
add_clocks 0 ramclk
add_black_box -instance bbox
check_design_rules
analyze_test_points
report_test_points
set_defaults_value DftSpecification/use_rtl_cells off
set spec [create_dft_specification -replace]
process_dft_specification
The control point and observe point instruments instantiate the available cells as follows:
Example 3
This example specifies "use_rtl_cell auto":
read_cell_library ../45nm/Tessent/NangateOpenCellLibrary.atpglib \
../data/picdram.atpglib
read_verilog ../data/piccpu1.v
read_verilog ../data/picdram.v -blackbox -exclude
read_cell_library ../data/cell_selection
set_cell_library_options -default_dft_cell_selection tc_selection
set_current_design piccpu1
set_design_level physical_block
add_clocks 0 clk
add_clocks 0 ramclk
add_black_box -instance bbox
check_design_rules
analyze_test_points
report_test_points
set_defaults_value DftSpecification/use_rtl_cells auto
set spec [create_dft_specification -replace]
process_dft_specification
The control point and observe point instruments instantiate the available cells as shown in Example 2.
Examples
Example 1
This is an example of a 1-bit control point:
Always
@(posedge clk or negedge rst_n)
begin : TXID_SLOT_CNT_FF
if ((~rst_n))
txid_slot_cnt[7] <= 3'd0;
else if (block_en_n)
txid_slot_cnt[7] <= 3'd0;
else
begin
if ((((conf_ofdma_mode & active_txids[7]) &
o_fifo_wr[txid_active_slot[7]])
& fifo_llr_last[txid_active_slot[7]]))
begin
if (InsertControlPointORTypeV95(
(txid_slot_cnt[7]
==(conf_txid_slots_array[7] - 4'd1)),
control_test_point_en,
ts_0_cp_test_in_360_0)
)
txid_slot_cnt[7] <= 3'd0;
else
txid_slot_cnt[7] <= (txid_slot_cnt[7] + 3'd1);
end
end
end
end
function InsertControlPointORTypeV95;
input sig_in;
input TM;
input test_in;
reg sig_in_tp;
begin
sig_in_tp = (TM & test_in) | sig_in;
InsertControlPointORTypeV95 = sig_in_tp;
end
endfunction
Example 2
This example has two control points on the same expression:
Example 3
This example inserts a control point and connects the associated flip-flop to a negative edge-triggered
clock.
The original RTL specifies a negative edge-triggered clock.
Assume that the tool inserts a control point on "in1&in2" on "path i1". The following code is the modified
RTL with a negative edge-triggered test point inserted.
The following Verilog code defines the negative edge-triggered flip-flop associated with the inserted
control point:
Example 4
This example shows control point insertion on input pins driven by multiple branches of a sub-expression.
In the original RTL, the "in1 & in2" expression has three branches. For the purpose of this example,
control points are inserted on only two of the branches, which are highlighted in red in the following code.
The other branch does not get a control point and is highlighted in green.
The following figure shows the corresponding gate logic with the two control point locations marked in red.
The tool modifies the RTL to insert the two control points as function calls, which are highlighted in red.
The unmodified branch of the original expression is highlighted in green.
module top(
input clk, input in1,in2,in3,
output reg out1, out2, out3, input wire cp_en);
wire [0:0] tessent_persistent_cell_cp_test_in_1_0,
tessent_persistent_cell_cp_test_in_1_00;
always @(posedge clk) begin
out1 <= (InsertControlPointORTypeSV09((in1 & in2), cp_en,
tessent_persistent_cell_cp_test_in_1_0) | in3);
out2 <= ((in1 & in2) & in3);
out3 <= (InsertControlPointORTypeSV09((in1 & in2), cp_en,
tessent_persistent_cell_cp_test_in_1_00) ^ in3);
end
top_tessent_dff_cp tessent_persistent_cell_cp_dff_instance_1_0_0(
.clk(clk), .ff_out(tessent_persistent_cell_cp_test_in_1_0)
);
top_tessent_dff_cp tessent_persistent_cell_cp_dff_instance_1_0_0_1(
.clk(clk), .ff_out(tessent_persistent_cell_cp_test_in_1_00)
);
function logic InsertControlPointORTypeSV09(input logic sig_in,
input logic TM,
Prerequisites
• Predefined DFT logic generated into the following sub-directory of <TSDB output directory>/
instruments:
◦ <current_design_name>_<design_id_name>_rtl_scan_dft_logic.instrument
a. Create an empty DftSpecification configuration wrapper and copy the newly created wrapper
object to the variable “spec” to customize the specification later.
a. Insert DFT logic into the RTL design using the process_dft_specification command.
c. Follow the instructions in the “SDC Design Synthesis With Tessent Shell” section, and refer to
the “Synthesis Helper Procs” topic for more information.
Example
This example adds the following commands to the synthesis script:
source ../tsdb_outdir/dft_inserted_designs \
cb_oc_rtl1.dft_inserted_design/cb_oc.sdc
source <TSDB output directory>/dft_inserted_designs/ \
<current_design_name>_<design_id_name>.dft_inserted_design/ \
<current_design_name>_<design_id_name>.sdc
tessent_set_default_variables
set preserve_instances [tessent_get_preserve_instances scan_insertion]
set_boundary_optimization$preserve_instances true
set_ungroup $preserve_instances false
set_boundary_optimization [tessent_get_optimize_instances] true
set_size_only -all_instances [tessent_get_size_only_instances]
set_app_var compile_enable_constant_propagation_with_no_boundary_opt \
false
set_app_var compile_seqmap_propagate_high_effort false
set_app_var compile_delete_unloaded_sequential_cells false
The report shows the reason and type for each pin excluded at the gate level after quick synthesis. The
following are the reasons and their meanings:
• pins_in_floating_logic — all unused logic that is not observed by any primary output ports.
• not_editable_in_rtl — logic excluded because it is not editable RTL. For example, control logic
or logic inside functional blocks.
The tool sets the no_control_reason and no_observe_reason predefined object attributes to the reason
literal when the predefined attributes no_control_point and no_observe_point are set to true.
The list of reasons in this section applies only to the RTL flow. Other no_control_reason and
no_observe_reeason values apply to the gate-level flow. For more information, refer to “Object Attributes”
on page 43.
Examples
Example 1
This example reports the logic excluded from test point analysis:
set_tsdb_output_directory tsdb_outdir
set_context dft -test_points -rtl
read_verilog -f ../data/filelist.f
read_verilog ../prerequisites/insert_test_point_logic.tshell/rtl/tp.v
set_current_design lifo_top
set_design_level physical
add_black_box -auto
add_clocks 1 rbu_reset_n
add_clocks 1 reset_n
add_clocks 0 clk
check_design_rules
analyze_test_points
report_notest_points -tool_identified
Pin name Type Reason
--------------------------------------------- ---------------- -----------------------
/i_scan_clk_216_pad control, observe clock_cone
/i _ s c an_c_108_pad control, observe clock_cone
/i_pinmux_clock_108_enable control, observe pins_in_floating_logic
Example 2
The following example uses attribute introspection such as the report_attributes command to show the
value on any gate-pin/port/net/pin objects:
ANALYSIS> report_attributes axi_lfm_awprot[0]
Note:
These commands are permitted only if set_dft_specification_requirements -logic_test is set to on.
This ensures definition and controllability requirements are met for clocks, sets, and resets during
pre-DFT DRC.
To insert other instruments but not the X‑bounding logic after the X-bounding analysis is done, you
must clear the analysis results using the analyze_xbounding -reset command before running the
process_dft_specification command.
The tool considers all flip‑flops as scannable, unless they are explicitly declared as non-scan. Flip-flops in
X-bounding analysis have the following capabilities:
X-Bounding Logic
The tool inserts the following X-bounding logic:
• A flip-flop with a multiplexer that intercepts an X-source when the corresponding enable signal is
enabled (when you specify the "set_xbounding_options -connect_to new_scan_cell" option).
• A multiplexer that intercepts an X-source when the corresponding enable signal is enabled, with
the other input connected to an existing potential scan cell (when the "set_xbounding_options –
connect_to is set to existing_scan_cell", which is the default).
• An AND/OR gate intercepting the existing connection, with the relevant enable signal
controlling the gate (if the tool finds a flip-flop to drive the multiplexer or when you specify
set_xbounding_options -xbounding_enable –connect_to constant_value).
• A clock gater fix. If an X propagates to a functional enable pin of a clock gater, then the
tool connects the clock gater’s test_enable to the X-bounding enable signal (if you specify
"set_xbounding_options -bound_clock_gater_enables").
• A recirculating feedback path with a multiplexer with an inverter on the D input of the destination
scan cells of false and multicycle paths. The inversion ensures that the destination scan cell
output has a transition during a broadside test to achieve higher coverage. Refer to "False and
Multicycle Paths Handling" in the Hybrid TK/LBIST Flow User’s Manual.
X-Sources
The tool considers the following as potential sources of unknown values:
• Unconstrained primary inputs, except for test-related ports (such as DFT signals, ICL ports, and
so on).
• Black boxes.
• Memories are considered sources of unknown values. However, the tool forces the
memory_bypass_en DFT signal to "on", which prevents those Xs from propagating to scan cells if
the memory has a bypass.
Instruments with the keep_active_during_scan attribute set to true are not considered X-sources.
If boundary scan is present, you must put it in the shift mode using input constraints to prevent
redundant bounding of the wrapped primary inputs. Alternatively, you can exclude the inputs with the
"set_xbounding_options -exclude" command. The boundary scan cells are not considered X-sources
because all flops are considered scannable (unless defined non-scan).
Ports and pins with DFT control points of async_set_reset type that are added with add_dft_control_point
or by DFT_C9 block the X propagation.
The tool can bound the unknown states introduced by false and multicycle paths when you read an SDC
file before starting the analysis. Refer to “Limitations of RTL DFT Analysis and Insertion” on page 187
for details about SDC file parsing.
Synthesis typically optimizes unused (constrained or floating) logic. Adding X-bounding multiplexers in
such areas can prevent synthesis optimizations and lead to elevated area overhead. Consequently, the
tool tries to mimic synthesis by forcing undriven logic to 0 and excluding these pins from X-bounding.
Such locations have the no_control_reason attribute set and are excluded from DFT analysis. Floating
logic is also analyzed and marked with the no_control_reason attribute. The tool makes sure not to use
the flip-flops that are only driving floating logic, or that are driven by undriven logic, as a source of non-X
input of X-bounding multiplexers.
2. x_bounding_en
3. lbist_en
Non-Editable Nodes
Some regions of the RTL design cannot be modified. The tool marks them with no_control_point/
no_observe_point attributes, and the corresponding no_control_point_reason and
no_observe_point_reason attributes.
Note:
These commands are permitted only if set_dft_specification_requirements ‑logic_test is set to on.
This ensures definition and controllability requirements are met for clocks, sets, and resets during
pre-DFT DRC.
You can inspect the analysis results using the report_wrapper_cells command or by introspection. The
tool does not insert dedicated wrapper cells if you run the analyze_wrapper_cells ‑reset command before
the process_dft_specification command.
When you run the process_dft_specification command, the tool writes the locations of the dedicated
wrapper cells to the dft_info_dictionary in the corresponding TSDB directory. If you use a third-party scan
insertion tool, you need to identify dedicated wrapper cells for scan stitching. The following example
shows how to get information for dedicated wrapper cells after the extract_icl command is complete:
format_dictionary [dict get [get_dft_info_dictionary] dedicated_wrapper_cells]
dft_signals {
async_set_reset_dynamic_disable {
connection_node_name tp_cell_async_set_reset_dynamic_disable/y
connection_node_type pin
}
…
}
dedicated_wrapper_cells {
cpu_en {
ts_0_dihw_2568smodp1_i {
intercept cpu_en
capture_window_behavior invert_hold
}
}
dco_enable {
ts_0_dohw_2350smodp1_i {
intercept dco_enable
capture_window_behavior invert_hold
}
}
…
}
• Clock source analysis to determine the clock source for dedicated wrapper cells.
• Tessent wrapper analysis identifies the constant, undriven, and floating logic that synthesis tools
remove from the RTL during optimization. If wrapper analysis determines that a port connects
only to this kind of logic, it ignores the port and reports it as "Optimized post-synthesis". You can
still force a dedicated wrapper cell for the port by using the "set_dedicated_wrapper_cell_options
on -ports" command and switch.
Limitations
The quick synthesis does not use multi-bit cells or flip-flop trays, unlike regular synthesis. For this reason,
wrapper analysis does not account for multi-bit cells the same way it does in the "dft -scan" context. In
the "dft -scan" context, it accounts for their cost towards flip-flop threshold by dividing their size by the
Example 1
This example shows the behavior of the "set_insertion_options ‑auto_uniquify_edited_modules"
command. The example design has 10 instances of the same module "DECODE" under the top module
"TOP" as follows:
After design elaboration, the tool creates two elaborated views for the "DECODE" module according to
the WIDTH parameter.
The following scenarios show how the tool uniquifies the design depending on the test logic inserted and
the setting you specified for the -auto_uniquify_edited_modules switch:
Scenario 1
The tool inserts ten control points implemented with OR gates. All control points are on the same output
of the same expression (same logic).
In this case, the tool performs the following uniquification:
• -auto_uniquify_edited_modules on —
• -auto_uniquify_edited_modules when_needed —
◦ DECODE_1 for instances {u1, u3, u4, u5, u7, u8, u9}
• -auto_uniquify_edited_modules on —
• -auto_uniquify_edited_modules when_needed —
In this case, the tool creates two clusters of control points (CP) that share a single flip-flop for each
cluster.
• -auto_uniquify_edited_modules on —
• -auto_uniquify_edited_modules when_needed —
Example 2
This example shows the behavior of the "set_insertion_options ‑auto_uniquify_edited_modules"
command on the following design, top.sv:
The dofile for this example includes the following commands, where the gate pin "rtlc0_0/Z" represents
the output pin of expression "in[1] & in[3]":
The tool inserts four control points on the same RTL location. With "‑auto_uniquify_edited_modules
when_needed", the tool creates two new unique views, M1_1 and M2_1. The following shows the RTL for
top.sv after test point insertion:
Incremental Insertion
After synthesizing the DFT logic inserted at RTL, you can perform incremental insertion at the gate level
for fine-tuning before test pattern generation.
You can perform incremental test point analysis at the gate level. The Example in this section shows how
to use it to increase LBIST test coverage.
You can perform incremental X-bounding at the gate level for these reasons:
• Bound false and multicycle paths after reading in a gate-level SDC file. For more information,
refer to "False and Multicycle Paths Handling" in the Tessent Hybrid TK/LBIST Flow User’s
Manual.
You can perform incremental wrapper cell analysis at the gate level. Refer to "Automatic Identification
of Pre-Existing Dedicated Wrapper Cells" in the Tessent Scan and ATPG User’s Manual for detailed
information about the following topics:
• The behavior of the analyze_wrapper_cells command if you run it again in the dft ‑scan context
on a gate-level design.
Example
This example first inserts test points in an RTL design and then incrementally inserts test points at the
gate level. It focuses on the commands related to test points only. For more information on the overall
flow, refer to “Tessent Shell Workflows” on page 189.
Define DFT-specific signals in the first insertion pass, including the following signals for test points:
The following code shows the test point insertion at RTL with the goal of increasing LBIST test coverage:
The following tool transcript shows the results of the analyze_test_points command for RTL insertion:
// command: analyze_test_points
// Identifying locations of x-bounding muxes prior to test point
// analysis.
// Note: Test points cannot be inserted at 72057 (63.0%) gate-pins.
// 10978 ( 9.6%) gate-pins are located on clock lines or on the
// scan path.
// 23033 (20.1%) gate-pins are constant due to inputs that are
// constrained to 0 or 1 or as a result of the test setup procedure.
// 32061 (28.0%) gate-pins are in excluded uneditable regions.
// Warning: This design may not be suitable for test point analysis
// unless you can take the following action(s) -
// a) Reduce the number of uneditable regions by improving the RTL.
// For guidance, users can analyze the RTL complexity of the whole
// design using the command "report_rtl_complexity".
//
// Test Coverage Report before Test Point Analysis
// -----------------------------------------------
// Target number of random patterns 10000
//
// Total Number of Faults 225688
//
// Incremental Test Point Analysis
// -----------------------------------------
// TPs 100 = 61 (CP) + 39 (OP), Est_RTC 68.68
// TPs 200 = 112 (CP) + 88 (OP), Est_RTC 70.13
…
// TPs 1700 = 178 (CP) + 1522 (OP), Est_RTC 81.37
// TPs 1800 = 178 (CP) + 1622 (OP), Est_RTC 81.44
//
// Incremental optimization to find more effective test points is in
// progress. The final distribution of control and observe points may
change.
//
// Test Coverage Report after Test Point Analysis
// ----------------------------------------------
// Target number of random patterns 10000
//
// Total Number of Faults 225688
// Testable Faults 195086 ( 86.44%)
// Logic Bist Testable 169632 ( 75.16%)
// Blocked by xbounding 9200 ( 4.08%)
// Uncontrollable/Unobservable 5586 ( 2.48%)
//
// Estimated Test Coverage (post test points) 79.51%
// Estimated Relevant Test Coverage (post test points) 84.11%
//
//
// Test point analysis completed: no more useful test points could be
// identified.
// Total inserted test points 1473
// Control Points 274
// Observation scan Test Points 1199
// Maximum control point per path 4
// CPU_time (secs) 15.7
After insertion, the RTL design is ready for synthesis. At the gate level, perform fault simulation of the
LBIST patterns to evaluate the test coverage. The following tool transcript shows the results of fault
simulation:
The result is that test point insertion at RTL added 1473 test points, which increased the estimated test
coverage from 54.86% to 79.51%. The fault simulation confirmed the LBIST test coverage at 79.13% for
1000 patterns simulated.
To further increase LBIST test coverage, this example script performs incremental test point insertion in
the design at the gate level:
The following tool transcript shows the test points added during gate-level incremental insertion:
// command: analyze_test_points
// Identifying locations of x-bounding muxes prior to test point
// analysis.
// Note: Test points cannot be inserted at 37804 (29.4%) gate-pins.
// 12855 (10.0%) gate-pins are located on clock lines or on the
// scan path.
// 17191 (13.4%) gate-pins are constant due to inputs that are
// constrained to 0 or 1 or as a result of the test setup procedure.
// 5746 ( 4.5%) gate-pins are restricted by add_notest_points
After incrementally inserting test points, you can fault simulate the LBIST patterns. Here is an excerpt
from the fault simulation tool transcript:
The result is that incremental insertion at the gate level put in an additional 191 test points, further
increasing the estimated test coverage from 79.51% to 86.07%. The fault simulation confirmed the LBIST
test coverage as 86.35% for 1000 patterns simulated.
• At RTL, you can perform test point insertion in incremental mode, but we recommend
that you insert dedicated wrapper cells and X‑bounding logic with a single call to the
process_dft_specification command. At the gate-level, you can incrementally insert dedicated
wrapper cells, X‑bounding logic, and test points after RTL insertion.
• The tool identifies dedicated wrapper cell structures that are inserted by Tessent in RTL designs.
These are only a subset of dedicated wrapper cells that are part of IEEE Std 1500.
• The tool does not support insertion of dedicated wrapper cells, test points, and X-bounding logic
in VHDL.
• Parts of the design marked as non-editable RTL for test points are treated as non-editable for
dedicated wrapper cells and X-bounding logic, too.
• You must use the no_control_point attribute to mark the parts of the design where you do
not want the tool to insert X-bounding logic because the add_notest_points command is not
available.
• If X-bounding is necessary in the logic analyzed but not marked with the no_control_point
attribute, and the logic is in non-editable RTL, the tool reports a violation of the XB3 DRC rule.
You can remedy this by marking the logic with the no_control_point attribute.
• The tool only supports a subset of the SDC file syntax. Refer to the read_sdc command in the
Tessent Shell Reference Manual for more information. The tool may not support the attributes
and commands specific to third-party tools. A possible workaround is to read the SDC file in
the synthesis tool and write out a preprocessed version after elaboration and before synthesis.
However, this can result in tool-specific, post-synthesis design object names that may not match
the design objects in Tessent, requiring some additional manual post-processing. Another
alternative is to prepare a tool-independent SDC file by extracting the tool-specific queries and
implementing them separately for Tessent and third-party tools.
• You must first create an ICL representation of your RTL design in the TSDB by running
the extract_icl command before running the extract_sdc command to generate the
tessent_get_preserve_instances procs in the SDC file. These procs can be used to preserve the
inserted DFT logic during synthesis.
2. Synthesis
4. Gate-level ATPG
Understanding the RTL and scan DFT prelayout insertion flow for flat designs helps you manipulate
hierarchical designs or implement a variation of the basic flow.
Refer to the following test case for a detailed usage example of the flow described in this section:
tessent_example_flat_flow_<software_version>.tgz
<software_release_tree>/share/UsageExamples/
Note:
EDT is a subset of LBIST. You can choose EDT and no LBIST, but you cannot choose LBIST
without it also including EDT.
The DFT logic you insert during the first DFT insertion pass gets tested by EDT or LBIST. When inserting
DFT into an RTL design, you only need to run synthesis once after you have performed the two DFT
insertion passes.
During the first pass, Tessent inserts the IJTAG network and any IJTAG instruments that have ICL
descriptions. Refer to the command for details about this process.
During the second pass, the tool checks MemoryBIST’s logic for rule compliance with the rest of the
functional logic to prevent implications for the DFT signals and IJTAG network connections that could
result in coverage loss and pattern count increase.
Optionally, you can perform scan insertion at the same time as synthesis. This does not affect how you
perform DFT insertion, but you do lose some of the automation that Tessent Shell provides during ATPG
pattern generation.
The following figures show an example of the progression of DFT hardware inserted into a DFT-ready
design.
In the first DFT insertion pass, Tessent inserts the MemoryBIST and boundary scan hardware.
In the second DFT insertion pass, Tessent inserts the EDT or LBIST, and OCC hardware. You clock
the MemoryBIST logic (shown in yellow in Figure 28) using the same functional clock that feeds the
memories. The IJTAG network (blue) is scan tested using the IJTAG clock, which is the TCK clock. The
TAP network (red) is not scan tested or is made non-scan during ATPG.
• "Getting Started With Tessent BoundaryScan" in the Tessent BoundaryScan User’s Manual
Notes About This Procedure
• The line numbers used in this procedure refer to the command flow dofile in Example 1 on
page 195.
Prerequisites
• To insert boundary scan, you must have an RTL design with instantiated I/O pads if you are using
a chip-level design.
• For RTL netlists, you must have a Tessent cell library or the pad library for the I/O pads. For more
information, refer to the Tessent Cell Library Manual.
Procedure
1. Load the RTL design data (refer to lines 1-7).
2. For the first insertion pass, set the set_context -design_id switch. By convention, the identifier used
for this is typically rtl1.
The ‑design_id switch stores all the data associated with a particular DFT insertion pass in the
TSDB. For the first pass, rtl1 contains the data for the MemoryBIST and boundary scan hardware,
and for the IJTAG network.
Note:
rtl1 is the recommended naming convention for the design ID for the first insertion pass,
but you can specify any name. Refer to Loading the Design for more information about
setting the design ID.
5. Identify test pins and apply options to special pins. (Refer to lines 14-26.)
6. Apply the check_design_rules command to instruct the tool to leave setup mode and enter analysis
mode.
If there are issues with the design, the tool remains in setup mode. (Refer to line 31.)
a. To configure the functional pins so that they are shared as EDT channel input and output
pins, add the required logic using the AuxiliaryInput ports and AuxiliaryOutput ports wrappers,
respectively.
Functional pins can be shared as EDT channel pins. You must insert auxiliary input and output
logic at the same time as you insert boundary scan to avoid cascading two multiplexers along
Note:
You can also share test_clock, scan_en, and edt_update pins with functional pins. The
functional coverage is maintained when you use boundary scan during scan test.
8. Create the DFT hardware, IJTAG network connectivity, and input test patterns. (Refer to lines
46-53.)
Results
For MemoryBIST, Tessent inserts the MemoryBIST controllers, interfaces, BIST Access Port (BAP), and
segment insertion bits (SIBs). This hardware is later scan-tested using EDT, which you insert during the
second insertion pass. In addition, Tessent automatically connects pre-existing scan testable instruments
and scan resource instruments to the Scan Tested Instrument (STI) and Scan Resource Instrument (SRI)
sides of the IJTAG network, respectively.
For boundary scan, you can segment the boundary scan chain into smaller chains that are used during
logic testing with Tessent TestKompress. To segment the boundary scan chain into smaller chains to be
connected to the EDT, specify max_segment_length_for_logictest within the BoundaryScan wrapper or
alternatively specify the following command prior to running create_dft_specification:
set_defaults_value DftSpecification/BoundaryScan/max_segment_length_for_logictest
Examples
The following dofile example shows a typical command flow.
The highlighted command lines illustrate additional considerations for inserting the Tessent Shell
MemoryBIST and Tessent Shell Boundary Scan instruments in the first insertion pass of a two-pass DFT
insertion process. The functional pins are equipped with logic so that they can be shared as EDT channel
input and output pins.
Note:
For the second DFT insertion pass, use the process described in “First DFT Insertion Pass:
Performing MemoryBIST and Boundary Scan” on page 193 to generate ATPG patterns and
perform simulation.
Prerequisites
• For chip-level designs, source nodes must be present in the RTL design so that you can define
dynamic DFT signals as described in Specifying and Verifying the DFT Requirements. Dynamic
DFT signals are signals such as scan enable, edt_clock, edt_update, and so on, that need to
change during specific tests.
Procedure
1. Apply the set_context command with the ID rtl2 for the second pass. For example:
In the first DFT insertion pass, you set the design ID to "rtl1" with the command.
Note:
This manual uses recommended naming conventions for the design IDs for flat designs,
which are rtl1 for the first DFT insertion pass, rtl2 for the second DFT insertion pass,
and gate for the scan chain insertion pass. Refer to Considerations for Using Gate-Level
Verilog Netlists for the naming conventions when using gate-level Verilog netlists.
For a specified design, the design ID stores all the data associated with a DFT insertion pass
into the TSDB. For the first pass, "rtl1" contains the data for the MemoryBIST and boundary scan
hardware. Setting the design_id to rtl2 at the beginning of the second DFT insertion pass identifies
that "rtl2" stores the EDT or LBIST, and OCC hardware data generated during the second pass.
The rtl2 design data is cumulative. That is, it contains the necessary rtl1 data in addition to
the new data generated for EDT or LBIST, and OCC. The "rtl2" designation indicates that the
second insertion pass is performed on the resulting edits of the first pass RTL data. In subsequent
insertion passes, you can use either design ID to load the design and its supporting files.
Tessent generates IJTAG nodes during both insertion passes and their module names are
differentiated using the design ID you specified in each pass.
2. Specify the set_tsdb_output_directory command with the same directory location for both the first
and second DFT insertion passes:
set_tsdb_output_directory ../tsdb_rtl
If you forget to specify the command for the second DFT insertion pass, Tessent creates a default
tsdb_outdir directory in the current working directory. If you use a different output TSDB directory
for the two insertion passes, ensure that you open the TSDB used by the first insertion pass by
specifying the open_tsdb command.
For more information about the TSDB, refer to Tessent Shell Data Base (TSDB) in the Tessent
Shell Reference Manual. For information about the TSDB data flow, refer to TSDB Data Flow for
the Tessent Shell Flow.
3. Specify the read_cell_library command to read in the library file for the standard cells and the pad
I/O macros.
The following command reads the Tessent cell library file for the standard cells and pad I/O
macros:
read_cell_library ../library/adk_complete.tcelllib
If the pad I/O library and standard cell library are separate, use the following commands to read in
the atpg.lib files and the library for the pad I/O description:
read_cell_library ../library/atpg.lib
read_cell_library ../library/pad.library
The design was created in the first DFT insertion pass when you used the read_verilog or
read_vhdl commands. The read_design command also loads supporting files such as the TCD,
ICL, and PDL, if present in the TSDB.
The design is read in using the design ID from the first DFT insertion. In this case, the design ID is
"rtl1"
To load the design correctly for the second insertion pass, the read_design command refers to
the design source dictionary that was created during the first DFT insertion pass and stored in the
dft_inserted_designs directory.
set_current_design cpu_top
If any module descriptions are missing, design elaboration identifies them. You can fix elaboration
errors by adding the missing modules or by specifying the add_black_boxes ‑module command.
Figure 31. Flow for Specifying and Verifying the DFT Requirements
Procedure
1. Specify the set_dft_specification_requirements command to run pre-DFT design rule checking as
follows:
set_dft_specification_requirements -logic_test on
Because you already specified that you were working at the chip level in the first DFT insertion
pass, you do not need to specify this information for the second insertion pass.
2. Specify the add_dft_signals command to define the DFT signals. For example:
add_dft_signals ltest_en
…
• Transferring information about DFT signals from one step to the next
Based on the specified mode of operation, Tessent creates the necessary setup procedures to
control DFT signals through an IJTAG network.
Results
When DRC passes, Tessent Shell shifts from setup mode to analysis mode. Pre-DFT DRC verifies that
clocks are defined for all of the scannable sequential elements to be scan-tested and identifies the async
sets and resets so that they can be turned off during shift operations. In addition, if you have specified the
add_dft_clock_enable command, Tessent checks clock-gating logic and module-type clocks.
Examples
DFT Signals
You can add static DFT signals and dynamic DFT signals. Static DFT signals include global DFT control,
logic test control, and scan mode signals. As described in the add_dft_signals command description,
these DFT signals are typically controlled by a Test Data Register that is part of the IJTAG network.
Most dynamic DFT signals originate from primary input ports. For chip-level designs, these primary input
ports must already be present in the RTL and be pre-connected to a pad buffer cell. The three dynamic
DFT signals that originate from primary inputs are test_clock, scan_en, and edt_update. To share their
input ports with the functional mode, ensure that you added auxiliary input logic for them during boundary
scan insertion. Tessent cannot create the nodes as ports.
The following example shows the required DFT signals for the second insertion pass when you are
inserting EDT or LBIST, and OCC.
Note:
Tessent Shell automatically recognizes scan-tested instruments and stitches them into scan
chains.
Refer to the Tessent Shell Reference Manual for information about the following commands:
• register_static_dft_signal_names — Register your DFT signal, if, for example, you need to
augment the default DFT signals for specific usage requirements.
• report_dft_signals — View a list of the DFT signals added with the add_dft_signals command.
• add_dft_modal_connections — Though not normally required for flat designs, if you have
multiple EDT configurations that you want to multiplex into a common set of top-level I/Os, use
this command to implement the multiplexing. In addition, if the EDT channel pins are shared with
functional pins, they need to include auxiliary input/output muxing logic.
Related Topics
add_dft_signals
register_static_dft_signal_names
report_dft_signal_names
report_dft_signals
delete_dft_signals
add_dft_modal_connections
Prerequisites
• For EDT or LBIST, and OCC, you must first generate a skeleton DFT specification that contains
three empty SRI SIBs that specify the EDT, LBIST, and OCC sections of the IJTAG network.
Creating the DFT specification for EDT or LBIST, and OCC differs from the process you use for
MemoryBIST and boundary scan in the first DFT insertion pass.
Procedure
1. Specify the create_dft_specification command as follows:
2. Apply commands to customize the DFT specification using one of the following interfaces:
To customize the DFT specification on the command line, type the EDT or LBIST, and OCC data
as an argument to the read_config_data -from_string command. Modify the DFT specification
with introspected data using the add_config_element and set_config_value commands. Tessent
automatically saves modifications to the dofile/scripts directory for use in future sessions. Refer to
“DFT Customization Example” on page 205 for an example.
Note:
To input the EDT or LBIST, and OCC wrapper details for the DFT specification, you can
either use the Tessent GUI, known as Tessent Visualizer (refer to "Customizing the DFT
Specification for EDT"), or the Tessent Shell command line.
Note:
Tessent automatically connects the divided boundary scan segment (if present from the
first DFT insertion pass) to the EDT hardware that Tessent inserts in the second insertion
pass. Refer to connect_bscan_segments_to_lsb_chains for details.
3. Specify the following command to ensure that no errors exist in the DFT specification:
process_dft_specification -validate_only
Complete this step before generating the EDT, LBIST, and OCC hardware.
Examples
Note:
If you are using Tessent OCC, Tessent Scan automatically identifies and stitches the sub-chains
in the OCC into scan chains.
Procedure
1. Specify the process_dft_specification command to insert EDT or LBIST, and OCC in the second
pass:
process_dft_specification
2. (Optional) If you want to generate the hardware, but not insert it into the design, specify the
following command:
process_dft_specification -no_insertion
You can then insert the hardware into the design manually.
Procedure
1. Specify the extract_icl command to find all modules (both Tessent instruments and non-Tessent
instruments) and their associated ICL descriptions, and to run DRC to verify their connectivity.
The top-level ICL description corresponds to the design name you specified with the
set_current_design command during the first insertion pass (which is also the same design name
you specified when you elaborated the design at the beginning of the second insertion pass).
Procedure
1. Generate the test patterns for the design:
create_patterns_specification
process_patterns_specification
run_testbench_simulations
check_testbench_simulations -report_status
Performing Synthesis
Synthesize the original RTL and the DFT-inserted RTL for MemoryBIST, boundary scan, EDT or LBIST,
and OCC. For RTL designs, perform synthesis once after performing the first and second DFT insertion
passes.
Prerequisites
• For information regarding synthesis with third-party tools and Tessent DFT methodologies, refer
to “Synthesis Guidelines for RTL Designs With Tessent Inserted DFT” on page 989.
• Tessent Shell supports several third-party synthesis tools by generating Synopsys Design
Constraints (SDC) to convey timing constraint information. Refer to Timing Constraints (SDC)
for details. Find information about example scripts for the supported synthesis tools in “Example
Scripts Using Tessent Tool-Generated SDC” on page 979.
Procedure
1. Specify the write_design_import_script command to create a design load script that loads the RTL
design as it exists after the two DFT insertion passes. The following is an example command:
write_design_import_script for_synthesis.tcl -replace
Note:
If you are not using a supported third-party synthesis tool, you can still use the
write_design_import_script command to create a script and adjust it to match the
command set of your synthesis tool.
2. Synthesize the hardware using the synthesis manager of the run_synthesis command to run the
third-party synthesis tool. The following is an example command:
run_synthesis -startup_file for_synthesis.tcl
Alternatively, you can generate the synthesis script without running synthesis by specifying your
local startup file. The following example targets dc_shell:
run_synthesis -startup_file ./.synopsys_dc.setup
Use the following command to generate a script for other third-party synthesis tools:
run_synthesis -startup_file for_synthesis.tcl -generate_script_only
Procedure
1. Specify the following command to set the DFT context:
When setting the context, ensure that you specify the design ID with a unique name. The
recommended name is gate for a flat design. For a gate-level netlist the recommended name is
gate3.
../Synthesis/cpu_top_synthesized.vg
This netlist contains the gates for the original RTL design and the DFT-inserted hardware.
3. Specify the same output directory you used in the first and second DFT passes:
../tsdb_rtl
4. Load the rtl2 design data for the DFT hardware that you inserted. For example:
The -no_hdl switch specifies to read in all of the DFT data files—such as ICL, PDL, and TCD—
except for the design files. (You are using the synthesized design from this point forward.)
After design elaboration and design rule checking, Tessent Shell transitions from Setup mode to
Analysis mode.
5. Specify the add_scan_mode edt_mode command to connect the scan chains to the EDT signals
and EDT hardware that you inserted during the second insertion pass.
The use of preregistered DFT signal edt_mode as the scan mode using the add_scan_mode
command infers the ‑enable_dft_signal also as the edt_mode DFT signal.
Results
The scan stitched and inserted netlist is located in the TSDB under the design ID gate for RTL designs or
gate3 for gate-level netlists. Refer to Considerations for Using Gate-Level Verilog Netlists for details.
Examples
The following dofile shows a command flow for scan insertion and stitching:
Related Topics
read_verilog
set_tsdb_output_directory
read_design
Procedure
1. Do one of the following:
• If you used Tessent Scan to insert the scan chains, run the "import_scan_mode edt_mode"
command for ATPG pattern generation.
• If you did not use Tessent Scan for scan insertion, use the TCD IP mapping flow as described in
"Running ATPG Patterns without Tessent Scan" in the Tessent Scan and ATPG User’s Manual.
When you run the import_scan_mode command, Tessent Shell passes through the scan-insert
design data for the EDT or LBIST, and OCC logic. This data includes the scan structures (scan
chains and scan channels) that are stored in the TSDB under the gate design ID. The gate design
ID was created during scan insertion when you specified the insert_test_logic command.
In addition, Tessent automatically creates and simulates the test_setup procedure cycles that
are required to initialize the EDT or LBIST, and OCC static signals. You only need to specify non-
default parameter values, if, for example, you run EDT with bypass on or set int_ltest_en to 1 to
use the boundary scan as the source of the core values and isolate the ATPG test from the top-
level IOs.
You can create ATPG patterns for any mode that you need, such as stuck-at and transition.
These patterns are stored in the TSDB database under the logic_test_cores directory. The
import_scan_mode command uses the same scan configuration—that is, the DFT signals—that
were used for the add_scan_mode command during scan insertion.
2. Specify the set_current_mode command to indicate the type of pattern you are generating (refer to
“Stuck-at ATPG Patterns” on page 213 and “Transition At-speed ATPG Patterns” on page 213).
In addition, the name you give to the generated ATPG pattern sets must differ from the mode name
you specify for import_scan_mode (that is, "edt_mode").
4. Specify the write_tsdb_data command to save the flat model, fault list, PatDB, and TCD files into
the TSDB.
5. Specify the write_patterns command to write out the Verilog testbenches and STIL patterns.
For details about ATPG pattern generation, refer to "Running ATPG Patterns" in the Tessent Scan
and ATPG User’s Manual.
Examples
# To apply the patterns through the boundary scan chains and not through
# the pads use:
# set_static_dft_signal_values int_ltest_en 1
# set_static_dft_signal_value output_pad_disable 1
# To allow the shift_capture_clock during capture phase on Scan Tested
# Instruments:
set_system_mode analysis
create_patterns
write_tsdb_data –replace
write_patterns patterns/cpu_top_stuck_parallel.v -verilog -parallel \
-replace -pattern_sets scan
write_patterns patterns/cpu_top_stuck_serial.v -verilog -serial -replace
exit
set_static_dft_signal_values int_ltest_en 1
set_static_dft_signal_value output_pad_disable 1
set_system_mode analysis
set_fault_type transition
set_external_capture_options -pll_cycles 5 [lindex [get_timeplate_list] 0]
Prerequisites
• Scan insertion has been completed.
Procedure
1. Set the context:
set_tsdb_output_directory tsdb_outdir
read_cell_library ../prereq/techlib_adk.tnt/tessent/adk.tcelllib
read_cell_library design/mem/mems.atpglib
set_current_design top
import_scan_mode int_mode
set_static_dft_signal_values tck_occ_en 1
set_static_dft_signal_values int_ltest_en 1
set_static_dft_signal_values ltest_en 1
set_static_dft_signal_values control_test_point_en 1
dofile
tsdb_outdir/instruments/top_dft2_lbist_ncp_index_decoder.instrument/t
op_dft2_tessent_lbist_ncp_index_decoder.dofile
set_static_dft_signal_values x_bounding_en 1
report_static_dft_signal_settings
set_system_mode analysis
report_scan_cells> scan_cells_fsim.rpt
report_clocks
report_pin_constraints
read_procfile
tsdb_outdir/instruments/top_dft2_lbist_ncp_index_decoder.instrument/t
op_dft2_tessent_lbist_ncp_index_decoder.testproc
add_faults -all
set_random_patterns 4096
simulate_patterns -source bist -store all
report_statistics
exit
The following differences apply when using a gate-level Verilog netlist rather than RTL. Other than these
differences, plus performing synthesis after each DFT insertion pass, you can follow the flow as described
starting with First DFT Insertion Pass: Performing MemoryBIST and Boundary Scan.
• Prerequisites — You must have the Tessent cell library or the ATPG library for the standard
cells, in addition to the Tessent cell library for the I/O pad cells.
• Design Loading — During the first and second DFT insertion passes, ensure the following:
◦ Specify the set_context command with the -no_rtl option rather than the -rtl option.
◦ When setting the context, use the recommended naming conventions for the design IDs,
which are "gate1" for the boundary scan/MemoryBIST insertion pass and "gate2" for the
EDT/OCC insertion pass. If you are following this convention, you would then use design ID
"gate3" for scan insertion.
◦ Use the read_cell_library command to read in the library files for both the standard cells and
pad I/O macros that are instantiated in the design.
Tip
Before performing DFT on a hierarchical design, familiarize yourself with “DFT Architecture
Guidelines for Hierarchical Designs” on page 125.
This section builds on the "Tessent Shell Flow for Flat Designs" section to describe the pre-layout RTL
and scan DFT insertion process for hierarchical designs.
Refer to the following test case for a detailed usage example of the flow described in this section:
tessent_example_hierarchical_flow_<software_version>.tgz
<software_release_tree>/share/UsageExamples/
• Physical Block — Physical blocks are logical entities that remain intact through tapeout. They
are synthesis and layout regions. Below the top level of a chip, these are blocks that you can
reuse, or instantiate, within a chip or across multiple chips. You perform synthesis on these
blocks independent of the rest of the chip design.
When performing DFT insertion on physical blocks, Tessent preserves the ports at the root of the
physical block. Instances of the physical block that exist below the current physical block may not
be preserved in the final layout when ungrouping is used.
In Tessent Shell, the hierarchical DFT insertion flow distinguishes between three types of physical
blocks: wrapped cores, unwrapped cores, and chip.
◦ Wrapped core. Wrapped cores contain wrapper cells that are used to isolate the internal logic
of the core. Wrapper cells are inserted when you perform scan chain insertion. Wrapped
cores are required to make the cores reusable through ATPG pattern retargeting. Wrapped
cores can contain sub-blocks. (Refer to the following description.)
◦ Unwrapped core. Unwrapped cores do not contain wrapper cells but can contain sub-blocks.
For additional information, refer to "Unwrapped Cores Versus Wrapped Cores" in the Tessent
Scan and ATPG User’s Manual.
◦ Chip. The chip is the top-level physical block—that is, the entire design—in which you
typically find the pad I/O macros and clock controllers. A chip may include another physical
block or sub-block. Physical blocks can be wrapped cores or unwrapped cores. Unlike the
other types of physical blocks, chips are layout regions.
• Sub-Block — Sub-blocks are designs that exist within parent blocks and are synthesized with
their parent blocks, which could be wrapped cores, unwrapped cores, or the top level of the chip.
Sub-blocks merge into their parent physical blocks during synthesis of the parent block. Refer to
set_design_level for details.
Sub-blocks are not layout physical regions. After layout is performed on the post-layout netlist,
the sub-block module boundary may or may not be preserved. Sometimes the same sub-block is
instantiated at both the physical block level and the chip level, as shown in the following figure.
You can insert DFT hardware such as MemoryBIST, EDT, and OCC into sub-blocks, but you
perform synthesis and scan insertion at the sub-block’s parent physical block level (where the
• Instrument Block — The design is a special empty module into which the DFT elements are
inserted. The special module is then manually instantiated into its parent block and its pins are
manually connected inside the parent block.
The synthesis, scan chain insertion, and pattern generation steps are done the usual way, as
described in Instrument Block DFT Insertion Flow for the Next Parent Level.
• ATPG (or scan) pattern retargeting — The process by which Tessent Shell preserves the ATPG
patterns associated with wrapped cores for purposes of reuse when testing the logic at the parent
instantiation level. This means you do not have to regenerate the patterns when you process the
top level of the chip. Instead, you retarget the wrapped core ATPG patterns to the top level. Every
instantiation of a wrapped core includes its associated ATPG patterns.
For details, refer to "Scan Pattern Retargeting" in the Tessent Scan and ATPG User’s Manual.
For purposes of ATPG pattern retargeting and graybox modeling (refer to the following
description), Tessent Shell differentiates between a wrapped core’s internal circuitry and its
external circuitry.
◦ Internal mode. Internal mode is the view into the wrapped core from the wrapper cells. That
is, the logic completely internal to the core. Tessent Shell retargets internal mode ATPG
patterns during ATPG pattern generation for the chip-level design.
◦ External mode. External mode indicates the view out of the wrapped core from the wrapper
cells. That is, the logic that connects the wrapped core to external logic. Tessent Shell uses
the external mode to build graybox models, which are used by the internal modes of their
parent physical blocks.
• Graybox — Graybox models are wrapped core models that preserve only the core’s external
mode logic along with the portion of the IJTAG network needed for test setup of the logic test
modes. The purpose of graybox models in the bottom-up hierarchical DFT process is to retain the
minimum logic required to generate ATPG patterns for the internal modes of the parent physical
blocks. For details, refer to "Graybox Overview" in the Tessent Scan and ATPG User’s Manual
and “Graybox Model” on page 130.
• When performing hierarchical DFT, you must specify the hierarchical design level at which you
are performing the DFT insertion process. For flat designs, the set_design_level command is
always set to chip. For hierarchical designs, you can also specify physical_block or sub_block.
• Inserting boundary scan during the first DFT insertion pass typically applies to the chip design
level. For hierarchical designs, this means that for cores and sub-blocks you insert only
MemoryBIST during the first DFT insertion pass unless you have cores with embedded pad I/O
macros. If you have cores with embedded pad I/O macros, then you need to insert boundary scan
into the pad IOs using the embedded boundary scan flow as described in the Tessent Boundary
Scan User’s Manual.
• Within the hierarchical flow, each physical block and sub-block has a unique design name and
should have its own TSDB.
Tip
To facilitate data management, save each design (whether core, sub-block, or chip) in
its own TSDB directory. This is the recommended practice when using Tessent Shell for
DFT insertion. Using different directories ensures that you can run all sibling physical and
sub-blocks in parallel without causing read-write errors into the TSDB directories between
the parallel runs. Only when all the child physical and sub-blocks of a given block are
completed can you then implement the DFT into the given block. Refer to TSDB Data Flow
for the Tessent Shell Flow for more information.
• You can perform ATPG pattern retargeting of core-level patterns when you process the parent
physical block of the wrapper cores, as shown in section Top-Level ATPG Pattern Generation
Example.
Note:
This discussion assumes that your design consists of wrapped cores as your lower level physical
blocks, and that the wrapped cores do not contain embedded pad IOs, so boundary scan is not
required.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 2 on
page 223.
Procedure
1. Load the RTL design data. (Refer to lines 1-22.) The following steps are important for the first DFT
insertion pass for wrapped cores:
Note:
rtl1 is the recommended naming convention for the design ID for the first insertion
pass, but you can specify any name. Refer to Loading the Design for more information
about setting the design ID.
b. Specify the read_verilog command with a list of the RTL filenames and locations for Tessent to
read and compile for the design. For example:
../rtl/omsp_timerA_defines.v
../rtl/omsp_timerA_undefines.v
../rtl/omsp_timerA.v
../rtl/omsp_wakeup_cell.v
../rtl/omsp_watchdog.v
../rtl/openMSP430_defines.v
../rtl/openMSP430_undefines.v
../rtl/openMSP430.v
../rtl/processor_core.v
c. Set the design level to "physical_block" so that the layout of this core is maintained as an
independent logical entity through tapeout.
3. Generate the MemoryBIST hardware and extract the ICL. (Refer to lines 32-36.)
4. Create the input test patterns and simulation testbenches. (Refer to lines 38-41.)
Examples
The following dofile example shows a typical command flow as detailed in the procedure listed in the
preceding.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 3.
Procedure
1. Load the design (lines 1-10). Refer to “Loading the Design” on page 199.
2. Specify and verify the DFT requirements (lines 12-31). Refer to “Specifying and Verifying the DFT
Requirements” on page 201.
Note:
Wrapped cores have their own DFT requirements for the clock signals.
3. Create the DFT specification (lines 33-37). Refer to “Creating the DFT Specification” on page 204.
4. Generate the EDT and OCC hardware (lines 39-77). Refer to “Generating the EDT, Hybrid
TK/LBIST, and OCC Hardware” on page 207.
5. Extract the ICL module description (lines 79-83). Refer to “Extracting the ICL Module Description”
on page 208.
6. Generate ICL patterns and run the simulation (lines 85-94). Refer to “Generating ICL Patterns and
Running Simulation” on page 208.
Examples
The following dofile example shows that you set the design ID to "rtl2" for the second DFT insertion pass,
set the internal mode and external mode for the wrapped core, and have chosen to specify an OCC of
type child.
Figure 39. Flow for Specifying and Verifying the DFT Requirements for Wrapped Cores
Procedure
1. Specify the following command to set DFT requirements:
set_dft_specification_requirements -logic_test on
Note:
The design level as specified by set_design_level remains "physical_block" from the first
DFT insertion pass, so you do not need to specify this command again.
2. Use the following procedure to define the DFT signals for wrapped cores in the second DFT
insertion pass:
a. Specify a global DFT signal to enter logic test mode. For example:
c. Specify the following command to test with multiple load ATPG patterns in MemoryBIST:
d. Specify the following command to test an STI network during logic test.
e. Specify both the internal mode and the external mode for hierarchical DFT. This is required for
scan insertion.
This command specifies that wrapped cores have both internal modes and external modes,
and that you must specify both. Differentiating between internal mode and external mode
enables Tessent to stitch the scan chains into internal chains and external chains as described
in Performing Scan Chain Insertion: Wrapped Core.
The internal and external modes are also required for proper ATPG pattern retargeting and
graybox modeling later in the insertion flow. Refer to Hierarchical DFT Terminology for more
information.
3. After defining the DFT signals, run DRC as in the flat design flow.
If the design includes clock gating that is implemented in RTL and not with an integrated clock
gating cell, you must specify their func_en and test_en ports using the add_dft_clock_enables
command. Tessent checks for proper clock and asynchronous set and reset controllability.
Results
Tessent Shell generates DFT_C errors for DRCs that are run. For details, refer to "Pre-DFT Clock Rules
(DFT_C Rules)" in the Tessent Shell Reference Manual.
Examples
To define the DFT signals, the following example shows the required DFT signals for wrapped cores in
the second DFT insertion pass:
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 4 on
page 231.
Prerequisites
• Prior to scan chain insertion, perform synthesis as described in section Performing Synthesis.
Procedure
1. Specify the following command to set the DFT context:
read_verilog ../3.synthesis/processor_core_synthesized.vg
3. Specify the same output directory you used in the first and second DFT passes:
set_tsdb_output_directory ../tsdb_core
4. Load the rtl2 design data for the DFT hardware you previously inserted. For example:
check_design_rules
d. Ensure that you exclude the EDT channel IO ports from wrapper analysis.
For details, refer to "Scan Insertion for Wrapped Core" in the Tessent Scan and ATPG User’s
Manual.
7. Specify the add_scan_mode command to connect the scan chains to the EDT signals and EDT
hardware that you inserted during the second insertion pass.
Scan insertion for wrapper cells requires using multi-mode scan insertion as described in the
Tessent Scan and ATPG User’s Manual. Do the following (refer to lines 41-46):
a. Create one scan mode for the entire population of scan cells.
The entire population of scan cells are stitched into the first scan mode using the int_mode
command to generate a scan mode consisting of all the scan cells stitched together.
b. Create a second scan mode only for the shared and dedicated wrapper cells.
In the second DFT insertion pass, you had generated a DFT signal named "int_mode" with the
add_dft_signal command. This signal enables this scan mode. You do not need to specify the
add_scan_mode -enable_dft_signal switch when the mode name matches the name of a DFT
signal of type scan mode.
The add_scan_mode ext_mode command stitches the shared and dedicated wrapper cells
together, similar to the DFT signal you generated named ext_mode. ext_mode enables the scan
mode for shared and dedicated wrapper cells.
Examples
The following dofile shows a command flow for scan insertion. The highlighted statements illustrate
additional considerations for performing scan insertion for wrapper cores. For a general overview, refer to
Performing Scan Chain Insertion (Flat Design) for a flat design.
Procedure
1. Set the context to patterns to create ICL-based patterns.
2. Set the location of the tsdb_outdir directory and load the cell libraries.
4. Load the collateral from the last DFT insertion step before scan insertion without reading the
netlist.
5. Create and write the ICL-based pattern sets. This includes ICLNetwork verify patterns and
MemoryBIST patterns, if memories are present.
6. Define the path to the Verilog simulation libraries, simulate the patterns, and check the simulation
results.
Examples
This example dofile shows how to verify the ICL model for SSN.
As described in Hierarchical DFT Terminology, the graybox model excludes the internal mode logic of the
wrapped core, preserving only the external mode logic that needs to be tested at the parent level. The
IJTAG infrastructure is preserved in the graybox model also. Specifically, Tessent preserves the external
logic that is present between the primary input and the input wrapper cells, plus any logic between the
output wrapper cells and primary output. The parent could be another wrapper core or the top level of the
chip.
You can use external mode patterns, if generated, for calculating fault coverage for the entire core (both
internal and external mode). Use the internal mode ATPG patterns for ATPG pattern retargeting when
performing the RTL and scan DFT insertion process on the top level of the chip.
Procedure
1. Generate graybox models (refer to Example 5 on page 236 for a command flow example):
a. Load in the design using the same design ID as you used for scan insertion to write the
graybox to the TSDB.
(Recommended) Use "gate" as the design ID if you inserted the MemoryBIST and EDT logic at
the RTL level and "gate3" if the logic was also inserted into the gate level.
2. Run ATPG on the external mode of the wrapped core. This ATPG pattern is only used to calculate
the entire core's fault coverage and cannot be reused from the chip-level. To generate ATPG
patterns for external mode, do the following:
a. Read in the graybox model of the design with the read_design command.
Use the set_current_mode command to specify a unique ATPG mode name that represents
the purpose of the pattern. The mode type is external.
b. Use the import_scan_mode command to retrieve the core’s external mode data. Tessent uses
the graybox model of the core. Using the import_scan_mode command assumes that you used
Tessent Scan to perform scan chain stitching.
check_design_rules
create_patterns
e. Use the write_tsdb_data command to store the TCD, flat model, fault list and PatDB files in the
TSDB.
f. Use the write_patterns command to write out the testbench required to simulate the generated
ATPG patterns.
Refer to Example 6 on page 236.
3. Run ATPG on the internal mode of the wrapped core. This results in the ATPG pattern that you
retarget at the top level of the chip. To generate ATPG patterns for internal mode, do the following:
a. Load in the graybox views for the wrapper cores that contain child wrapper cores.
b. If you used Tessent Scan for scan insertion, specify import_scan_mode to import the internal
mode.
check_design_rules
create_patterns
f. Store the TCD, flat model, fault list and PatDB files in the TSDB using the write_tsdb_data
command.
g. Use the read_faults command to merge the fault list from running external mode to find the
total overall fault coverage of the wrapped core.
Refer to Example 7 on page 237.
Examples
../../../library/standard_cells/tessent/NangateOpenCellLibrary.tcelllib
import_scan_mode ext_mode
check_design_rules
analyze_graybox
write_design -tsdb -graybox -verbose
exit
../../../library/standard_cells/tessent/NangateOpenCellLibrary.tcelllib
# Specify a different name that what was used during scan insertion with
# the add_scan_mode command
set_current_mode edt_multi_SAF -type external
report_dft_signals
# Extract the external mode specified during scan insertion
import_scan_mode ext_mode
report_core_instances
report_static_dft_signal_settings
../../../library/standard_cells/tessent/NangateOpenCellLibrary.tcelllib
# Read in the full scan-inserted netlist
read_design processor_core -design_id gate
set_current_design processor_core
# Extract the internal mode specified during scan insertion
import_scan_mode int_mode
# Use add_scan_mode to specify a different name than what was used
during
# scan insertion
# Specify import_scan_mode before set_current_mode because
# import_scan_mode overrides the test mode type specified by
# set_current_mode
set_current_mode int_mode_sa -type internal
report_dft_signals
report_core_instances
report_static_dft_signal_settings
# Run DRC
check_design_rules
report_clocks
report_core_instances
add_fault -all
report_statistics -detail
# Generate ATPG patterns
create_patterns
report_statistics -detail
# Store TCD, flat_model, fault list, and PatDB files in the TSDB
write_tsdb_data -replace
write_patterns patterns/processor_core_stuck_parallel.v -verilog \
-parallel -replace
set_pattern_filtering -sample_per_type 2
# Final coverage of the core that includes internal and external modes
report_statistics -detail
exit
Procedure
1. Read in the scan-inserted netlist from the TSDB.
Using the recommended naming conventions for the RTL and scan DFT insertion flow, the design
ID would be "gate" if you inserted the MemoryBIST and EDT logic at the RTL level and "gate3" if
the logic was also inserted into the gate level.
Examples
The following example shows how to validate the MemoryBIST patterns for a scan-inserted netlist.
RTL and Scan DFT Insertion Flow for the Top Chip
After performing the RTL and scan DFT insertion flow for each wrapped core in your design, you can
perform the DFT insertion process for the top-level chip design.
Before implementing DFT at the top level of the chip, plan how you should test the wrapped cores at
the chip level. The planning for logic testing the wrapped cores is not automated, so you must decide
how you want to allocate the resources and organize the test schedule (especially for ATPG pattern
retargeting) and specify your intent by using the add_dft_modal_connections command.
The RTL and scan DFT insertion flow for the top level of a chip follows the same basic process you used
for the cores, with the addition of a step for retargeting the ATPG patterns you generated for the wrapped
cores.
In addition, processing at the chip level differs from wrapped cores in that you must insert a Test Access
Mechanism (TAM). A TAM is a mechanism that you use to carry the scan data in and out of the chip for
each group of wrapped cores you intend to run in parallel. The TAM schedules the tests for the wrapped
cores at the top level, and enables access to the chip level so that you can run these tests.
During insertion and pattern generation, you open the TSDBs that store the wrapped core design data
and read in the designs for their graybox models. The DFT insertion flow for the top level of the chip
requires differentiating between three design views of the wrapped cores.
• Full netlist view — All the logic for the core. This is the default view when you do not explicitly
specify a design view with the read_design command.
• Graybox model — External mode logic for the core as described in Hierarchical DFT
Terminology, including its IJTAG interface. You use this view so that Tessent Shell can connect
the wrapped cores at the top level for logic testing of the chip.
• Interface view — The core’s ports only. Tessent auto-loads the interface view of any sub-
physical block for which you have not used read_design to load its view.
After performing the RTL and scan DFT insertion process for the wrapped cores, each of the cores has
an EDT controller and a child OCC. The processor core has the memories with MemoryBIST already
inserted.
Figure 43. Top-Level Example, After DFT Insertion for Wrapped Cores
After inserting DFT at the top level, the design includes a TAP controller along with boundary scan, a top-
level EDT controller, a parent OCC, and a TAM for purposes of retargeting the wrapped cores (not shown
in Figure 44 on page 242).
In this top-level example test case, the hierarchical core starts with RTL insertion, and in addition, at
the top level you want to perform DFT at RTL as well. However, there is no RTL logic at the top level
that needs to be synthesized. The PLL and pad IOs are Verilog macros. The only RTL that needs to be
synthesized is the Tessent-generated RTL. Hence, this test case uses design IDs "gate1" and "gate2" for
the first two DFT insertion passes, respectively.
Figure 44. Top-Level Example, After DFT Insertion at the Top Level
• "Getting Started With Tessent BoundaryScan" in the Tessent BoundaryScan User’s Manual
For information about TAP controller reuse, refer to create_dft_specification in the Tessent Shell
Reference Manual.
Prerequisites
• Refer to First DFT Insertion Pass: Performing MemoryBIST and Boundary Scan (for flat designs)
for general information and prerequisites. For example, as with flat designs, you can segment
the boundary scan chain into smaller chains that are used during logic testing with Tessent
TestKompress by using the max_segment_length_for_logictest command.
Procedure
1. Load the design.
Note:
Use the open_tsdb command to open the TSDBs for all the lower-level cores. Opening
their TSDBs makes their design data available.
There is no need to specify the read_design command because, by default, Tessent reads
in the interface views of the wrapped cores, which is all that is required for DRC for the first
DFT insertion pass.
2. Set the design level to "chip" for the top level of the chip.
When working with the wrapped cores, you had set the design level to "physical_block."
Note:
Boundary scan patterns can test functional I/Os, but you can also reuse functional I/Os for
the scan_en, test_clock, and edt_update DFT signals if they have the necessary auxiliary
logic. For more information, refer to the AuxiliaryInputOutputPorts wrapper in the Tessent
Shell Reference Manual.
4. Specify enough auxiliary input and output ports for the largest retargeting wrapper core group.
Examples
The following dofile example shows a typical command flow for inserting MemoryBIST and boundary
scan. The flow is the same as you would use for a flat design with the exception of the commands
highlighted in bold. The chip-level design data exists in its own TSDB. This is recommended for the data
flow as described in TSDB Data Flow for the Tessent Shell Flow.
report_config_data $spec
process_dft_specification
extract_icl
create_patterns_specification
process_patterns_specification
set_simulation_library_sources \
-v ../../library/standard_cells/verilog/*.v \
-v ../../library/pad_cells/verilog/*.v \
-y ../../library/plls \
-y ../../library/memories \
-extension v
run_testbench_simulations
exit
Note:
This procedure follows a similar flow as flat designs as documented in “First DFT Insertion Pass:
Performing MemoryBIST and Boundary Scan” on page 193.
Procedure
1. Specify the open_tsdb command to open the TSDBs for the wrapped cores, as in the first DFT
insertion pass for the chip.
2. Specify the read_design command to read in the graybox models of the wrapped cores.
This enables Tessent to perform DRC on the wrapper chains in addition to the rest of the top-level
logic to be tested.
3. Define a retargeting mode for each group of wrapped cores whose ATPG patterns you want to
retarget to run in parallel.
For ATPG pattern retargeting purposes, Tessent requires you to include retargeting mode DFT
signals in addition to the DFT signals defined in section DFT Signals. The retargeting<X>_mode
signals along with the TAM specified with the add_dft_modal_connections command ensure that
ATPG pattern retargeting occurs correctly. Optionally, you can register your own DFT signals to be
used for retargeting purposes.
Example 8 on page 246 demonstrates retargeting of two wrapped cores: processor_core and
gps_baseband.
5. Combine with the top-level EDT mode signal you defined by connecting the EDT channel IOs of
the wrapped cores to top-level pins via the TAM. Use the add_dft_modal_connections command.
6. Apply the set_defaults_value command to specify that Tessent Shell simulate the instruments
within the wrapped cores in addition to the top-level instruments.
Examples
The following dofile example shows that the DFT insertion flow for inserting EDT and OCC into the top
level of a chip follows the same basic process as for flat designs as described in Second DFT Insertion
Pass: EDT, Hybrid TK/LBIST, and OCC, with the exception of the highlighted commands.
# Needed for Scan Tested Instruments such as MemoryBIST and boundary scan
add_dft_signals tck_occ_en -create_with_tdr
# Connect wrapped core EDT channel I/Os to top level for retargeting1_mode
signal
add_dft_modal_connections -ports GPIO1_2 -input_data_destination_nodes
PROCESSOR_1/processor_core_rtl2_controller_c1_edt_channels_in[0] \
-enable_dft_signal retargeting1_mode
add_dft_modal_connections -ports GPIO2_2 -output_data_source_nodes
PROCESSOR_1/processor_core_rtl2_controller_c1_edt_channels_out[0] \
-enable_dft_signal retargeting1_mode
# Connect wrapped core EDT channel I/Os to top level for retargeting2_mode
signal
add_dft_modal_connections -ports GPIO1_2 -input_data_destination_nodes
GPS_1/gps_baseband_rtl1_controller_c1_edt_channels_in[0] \
-enable_dft_signal retargeting2_mode
report_dft_modal_connections
set_dft_specification_requirements -logic_test On
add_clocks INCLK -period 10ns
check_design_rules
report_dft_control_points
set_config_value port_pin_name \
-in $spec/EDT/Controller(c1)/Connections/EdtChannelsIn(1) \
[get_single_name [get_auxiliary_pins GPIO1_0 -direction input]]
set_config_value port_pin_name \
-in $spec/EDT/Controller(c1)/Connections/EdtChannelsOut(1)
[get_single_name [get_auxiliary_pins GPIO2_0 -direction output] ]
report_config_data $spec
process_dft_specification
extract_icl
# By setting this value, all the lower level instruments in the wrapped
# cores are simulated
Note:
Prior to scan chain insertion, perform synthesis as described in section Performing Synthesis.
Logic that is present at the top-level needs to be scan stitched along with the wrapper chains (external
mode) of the cores.
Refer to Performing Scan Chain Insertion (Flat Design) (for flat designs) for more information about scan
chain insertion. The following dofile example shows that Tessent Shell needs to access the graybox
models for each wrapped core.
Note:
This procedure is similar to “Performing ATPG Pattern Generation: Wrapped Core” on page 233.
Procedure
1. Specify the set_context patterns ‑retargeting command to retarget the ATPG patterns generated
for the wrapped cores.
2. Use the same TSDB for ATPG retargeting as you used for ATPG pattern generation.
3. Set the current mode to a unique mode name that, ideally, indicates the core name, the fault type,
and the retargeting mode DFT signal you had previously defined.
4. Specify which wrapped core ATPG patterns you are retargeting by enabling the correct retargeting
mode DFT signal. Set the set_static_dft_signal_values command to the retargeting mode for this
wrapped core.
5. Apply the add_core_instances command to specify the wrapped core whose internal ATPG
patterns you want to retarget.
Note:
If you are using this procedure for the automotive flow, also apply the "add_clocks -period"
command to add the top-level free-running repair clock.
6. Apply the read_patterns command to read in the stuck-at ATPG patterns that you want to retarget.
Examples
The following dofile example shows how you would retarget the stuck-at ATPG patterns for the wrapped
core, processor_core.
set_system_mode analysis
write_tsdb_data -replace
The following dofile snippet shows how you would retarget at-speed transition ATPG patterns for the
wrapped core, gps_baseband. Commands that are not shown are the same as the commands for
processor core in Example 11 on page 252.
Example 12. Retarget At-Speed Transition ATPG Patterns for the Top-Level
exit
• Multiple instantiations — You only need to perform the DFT insertion flow once for a sub-block.
Thereafter, every instantiation of the sub-block includes the inserted DFT hardware.
• Small size — Most sub-blocks are not big enough to be considered their own physical regions.
• Readiness — Sometimes the sub-block RTL is complete before the RTL for the physical layout
region, thus you can begin DFT insertion on the sub-block as soon as RTL is ready.
• You do not perform synthesis or scan insertion at the sub-block level because the sub-block
netlist may not exist after synthesis.
• During the second DFT insertion pass, you only insert pre-DFT DRCs.
Typically, you do not insert EDT controllers at the sub-block level because the logic inside of the
sub-block is too small, and the sub-block module may not exist after synthesis. You can insert an
EDT controller at the next parent level that you dedicate to testing the logic inside of a sub-block.
In most cases, this EDT controller is active at the same time as other EDT controllers that are
present at the next parent level.
Note:
The sub-block flow for inserting MemoryBIST and pre-DFT DRCs follows the same steps
as described in RTL and Scan DFT Insertion Flow for Physical Blocks, with the exception of
generating the EDT and OCC hardware. There are slight variations, which are described in the
following procedure.
Procedure
1. First DFT Insertion Pass: Performing MemoryBIST and Boundary Scan. Ensure that you set the
design level to sub_block rather than physical_block.
set_design_level sub_block
2. Second DFT insertion pass: insert pre-DFT DRCs. Follow the steps for the Second DFT Insertion
Pass: Inserting Block-Level EDT and OCC procedure, excluding generating the EDT and OCC
hardware.
Because you specified that you were working at the sub_block level in the first DFT insertion pass,
you do not need to re-specify this information for the second insertion pass.
After specifying and verifying the DFT requirements, Tessent Shell performs the following tasks
automatically:
• At the sub-block level, any static DFT signals you have added are implemented as IJTAG ports
rather than inserted via TDRs. Tessent Shell automatically connects the IJTAG ports to the TDR
at the next parent level.
• At the next parent level, adds DFT signals such as ltest_en and
async_set_reset_static_disable. Tessent Shell infers the add_dft_control_point command on
the sub-block pins if you have DFT DRCs that need to be fixed.
• At the next parent level, adds the tck_occ_en DFT signal if there is a STI-SIB network inside the
sub-block.
The following command performs pre-DFT DRC:
set_dft_specification_requirements -logic_test on
During ICL extraction, Tessent Shell generates the Synopsys Design Constraints (SDC) for the
sub-block.
Results
Proceed to performing the DFT insertion flow on the next parent level where this sub-block is instantiated.
Prerequisites
• You have performed the DFT insertion flow as described in DFT Insertion Flow for the Sub-Block
for the sub-blocks instantiated at this parent level so that you have the design after a clean pre-
DFT DRC run.
Note:
This flow occurs after the DFT insertion process described in RTL and Scan DFT Insertion Flow
for the Top Chip. When you have a sub-block inserted inside a wrapped core, you complete the
procedures described in “RTL and Scan DFT Insertion Flow for Physical Blocks” on page 221
after you load the sub-block design.
Procedure
1. First DFT Insertion Pass: Performing Top-Level MemoryBIST and Boundary Scan. When you load
the design, open the sub-block’s TSDB and load the design of the sub-block that passed pre-DFT
DRCs.
2. Second DFT Insertion Pass: Inserting Top-Level EDT and OCC. When you load the design, open
the sub-block’s TSDB, load the full design for the sub-block, and load the design for the top-level
after the first DFT insertion pass.
3. Synthesis on page 209. Synthesis of the chip-level RTL and the sub-block’s post-DFT inserted RTL
occur at the same time. Tessent Shell merges the sub-block into the parent-level logic.
Refer to Timing Constraints (SDC) to learn how the synthesis constraints from the sub-block are
merged at the next parent level.
4. Scan Chain Insertion on page 249. Tessent Shell performs scan chain insertion on the sub-block
and parent-level logic at the same time.
Examples
Procedure
1. Start with an empty DFT module that contains the port definitions for IJTAG and an input
and output port for each functional clock. Optionally, create output ports for the all_test and
async_set_reset_dynamic_disable DFT signals, which you can use to control clock multiplexers
and disable your asynchronous set and reset signals during scan shifting.
The following RTL shows an example module definition required for the instrument block flow:
module elt1_dft_box (
input clk,
output clk_occ,
input ijtag_tck,
input ijtag_reset,
input ijtag_ce,
input ijtag_se,
input ijtag_ue,
input ijtag_sel,
input ijtag_si,
output ijtag_so,
input [1:0] edt_channels_in,
output edt_channels_out,
input scan_en,
input test_clock,
input edt_update,
output async_set_reset_dynamic_disable
);
2. Perform the DFT insertion flow within the DFT module as normal, but you must add DFT control
points to output ports corresponding to DFT signals.
read_verilog design/rtl/elt1_dft_box.v
set_current_design elt1_dft_box
set_design_level instrument_block
set_dft_specification_requirements -logic_test on
add_dft_signals ltest_en int_mode int_ltest_en ext_mode ext_ltest_en
add_dft_signals scan_en test_clock edt_update \
-source_node {scan_en test_clock edt_update}
add_dft_signals edt_clock shift_capture_clock \
‑create_from_other_signals
add_dft_signals x_bounding_en mcp_bounding_en \
observe_test_point_en control_test_point_en -create_with_tdr
add_dft_signals async_set_reset_static_disable
add_dft_signals async_set_reset_dynamic_disable \
‑create_from_other_signals
add_dft_signals capture_per_cycle_static_en –create_with_tdr
check_design_rules
process_dft_specification
set_system_mode setup
extract_icl
write_design_import_script ‑replace ‑use_relative_path_to [pwd]
Results
Proceed to performing the DFT insertion flow on the next parent level where this instrument block is
instantiated.
Prerequisites
• You have performed the DFT insertion flow as described in DFT Insertion Flow for the Instrument
Block for the instrument blocks instantiated at this parent level so that you have the design after a
clean pre-DFT DRC run.
Procedure
1. Instantiate and connect the special empty DFT module in your parent module. Modify your RTL to
use clk_occ wherever it used clk so that the clock of all your scannable elements is controlled by
the OCC inserted into the block.
Tip
Remember to manually connect in the parent module all the connections for the IJTAG
network and all dynamic DFT signals.
2. At the next level, read your design normally, and then load the DFT module using the read_design
command. Specify your clocks and run the check_design_rules command to validate and store
them. Then, call the extract_icl command.
At that point, it is as if you had inserted the DFT elements from this level using the normal flow.
After ICL is extracted, you can go to the DFT context and verify that the RTL has a controllable
clock and reset signals. DRC violation errors indicate if the RTL is not clean, which you must fix
manually.
# Generate patterns
set spec [create_pattern_specification]
process_pattern_specification
# Run Simulation
set_simulation_library_sources -v \
../../../library/standard_cells/verilog/NangateOpenCellLibrary.v
run_testbench_simulations
3. Synthesis on page 209. Synthesis of the chip-level RTL and the instrument_block’s post-DFT
inserted RTL occur at the same time. Tessent Shell merges the instrument_block into the parent-
level logic.
Refer to Timing Constraints (SDC) to learn how the synthesis constraints from the
instrument_block are merged at the next parent level.
4. Scan Chain Insertion on page 249. Tessent Shell performs scan chain insertion on the
instrument_block and parent-level logic at the same time.
Note:
If your design consists of wrapped cores as your lower level physical blocks, and the wrapped
cores do not contain embedded pad IOs, refer to “How to Use Boundary Scan in a Wrapped
Core” on page 712.
CAUTION:
Third-party tools may have different insertion capabilities compared to Tessent Scan. This may
affect the quality of the results you achieve.
Prerequisites
Before starting this flow, you should identify the DFT functions you need to insert in each core and identify
how many scan chains and wrapper cells are needed for each core.
Note:
Tessent Scan automatically concatenates scan chain and wrapper chains into mixed chains to
achieve the number of scan channels on the EDT logic.
The tool generates a CTL file that includes information about embedded scan segments for the following
applications:
• A CTL file to describe the embedded chain to help in connecting the programmable registers
inside the following IP:
◦ OCC
• A CTL file to describe the controller chain mode (CCM) scan segment, when the tool generates
test IP with enabled segment_per_instrument property. This applies to the following IP:
◦ LogicBIST controller
◦ EDT controller (available only when used as part of hybrid TK/LBIST IP)
Note:
This discussion assumes your design consists of wrapped cores as your lower level physical
blocks, and the wrapped cores do not contain embedded pad IOs.
Figure 45. Two-Pass Insertion Flow for RTL, Wrapped Cores, and Third-Party Scan
Procedure
1. First DFT Insertion Pass: Performing MemoryBIST and Boundary Scan. Ensure you set the design
level to physical_block.
set_design_level physical_block
2. Second DFT Insertion Pass: Inserting Block-Level EDT and OCC. Ensure you set the design level
to physical_block.
a. During the second insertion pass and when specifying the DFT signals, follow the procedure
defined in “Specifying and Verifying the DFT Requirements: DFT Signals for Wrapped Cores”
on page 227.
The following are the internal and external modes that are required for mode switching:
6. Performing Synthesis.
Figure 46. DFT Signals and Multiplexer Logic Support Hierarchical ATPG
To the child cores, the preregistered static DFT signals ext_mode and int_mode control these multiplexers
as follows:
• External Mode — The ext_mode DFT signal is active, and the int_mode DFT signal is inactive.
The top level drives input into the wrapper chains through the wrapper’s scan in on the core
boundary.
• Internal Mode — The ext_mode DFT signal is inactive and the int_mode DFT signal is active.
The EDT logic drives all the scan chains inside the hierarchical physical block (both internal only
and wrapper chains).
When using this configuration, you must control the corresponding TDR bits for mode switching. Refer
to “Performing Wrapped Core Graybox Generation and ATPG Pattern Generation” on page 276 for a
detailed explanation.
Usage Guidelines
Use the following guidelines and criterion for configuring your third-party scan insertion tool for wrapper
and scan stitching:
• For the hierarchical physical block on which you intend to perform scan insertion, you have
completed the “Perform Two-Pass DFT Insertion and Synthesis for Wrapped Cores” on
page 266 flow.
• You have identified the scan chains of the current core and how many of the scan chains should
be wrapper chains. The total number of scan chains is the same as the EDT scan_chain_count,
as defined in the previous DFT insertion step. The rest of the scan chains can be specified and
used as core chains.
• During wrapper analysis, you must exclude the following pins from any wrapper insertion:
◦ IJTAG-related pins
◦ edt_channel_input pins
◦ edt_channel_output pins
◦ scan_enable pins
• The DFT signals for input and output wrapper scan enable that you have defined during the
second DFT insertion pass should be used as scan enable signals for input and output wrapper
chains, respectively, during wrapper analysis and insertion.
• To run ATPG after scan insertion, you must create and supply a test procedure file for the
Graybox generation step to trace and control the wrapper chains. A test procedure file tells the
tool how to clock the scan chains, and the tool automatically passes this to ATPG in the Tessent
Shell flow. A graybox does not normally include an OCC and EDT logic for tracing and controlling
wrapper chains; consequently, you must create the test procedure file manually when using the
third-party scan insertion flow.
Refer to “Test Procedure File” on page 745 for complete details on how you create and use a
test procedure file. Also, refer to “Example Test Procedure File” on page 271.
• The Tessent Shell environment get_dft_info_dictionary command offers you a method to access
the Tessent Database (TSDB) to use this information with your third-party scan insertion tool.
The command reads the scan information from the TSDB’s dft_inserted_designs directory,
specifically in a Tcl dictionary file named:
<design_name>.dft_info_dictionary
The Tcl dictionary contains the information about the DFT inserted in the design that must be
considered during scan insertion when using a third-party scan insertion tool. Refer to “Example
dft_info_dictionary File” on page 272.
All instances under "non_scannable_instance_list" should be regarded as non-scan. All modules
under "modules_with_chains" should be considered as sub-chains and should not be modified
during scan insertion.
• The Tessent Shell environment provides a mechanism for generating an example Tcl script you
can customize for your third-party scan insertion tool. Perform the following steps:
a. In Tessent Shell, run the following commands in the dft or pattern context:
set_tsdb_output_directory ../tsdb_outdir
read_design <design_name>
puts [ get_dft_info_dictionary -example_usage_script ]> ./usage_template.tcl
The tool creates an example usage script as shown in the following excerpt:
…
puts "Declare the Non-Scannable instances"
b. Use the script as a starting point to convert the dictionary into specific commands for your
third-party scan insertion tool. In the script, the tool inserts pound signs (#) with comments
that specify the actions your third-party tool must perform. You must replace those comments
with the corresponding third-party tool-specific commands to the file.
c. In the third-party scan insertion tool, source the Tessent dictionary from
../tsdb_outdir/dft_inserted_designs/
design_name_last_DFT_insertion_design_id.dft_inserted_design/
design_name.dft_info_dictionary.
An example path and filename is ../tsdb_outdir/dft_inserted_designs/
gps_baseband_rtl_edt.dft_inserted_design/gps_baseband.dft_info_dictionary.
d. In the third-party scan insertion tool, source the example usage script you modified in
previous steps.
• For the hierarchical transition fault model, you may need to insert one additional at-speed cell
at the beginning of each wrapper chain to make the transition on the first cell of each wrapper
chain. This way, you achieve the best coverage. The method you use depends on the third-party
insertion tool. Figure 47 shows the logic.
• If required and after you have inserted the scan using your third-party tool, you can follow the
procedure described in “Make Pre-ATPG Connections With Third-Party Scan for Wrapped Cores”
on page 273 if required.
• If no pre-ATPG connections are required, then you can load the scan-inserted netlist into Tessent
Shell and write the modified netlist and scan information back to the Tessent Shell Database
(TSDB).
Prerequisites
• You have completed scan insertion with a third-party tool.
Use the following procedure to save a scan-inserted netlist to the TSDB. The line numbers are
represented in the Example dofile under Examples:
Procedure
1. Set the context (refer to lines 1-3).
2. Set the TSDB location if not already set (refer to line 6).
4. Load the scan-inserted netlist (refer to line 12). This netlist is modified by your third-party scan
insertion tool and contains the scan cells.
5. Load the supporting DFT files (except the netlist) from the last DFT insertion pass and set the
current design (refer to lines 15-17).
6. Set the design level. Make sure you specify "physical_block" (refer to line 21).
7. Write the design information to the TSDB and create the softlink (refer to line 22).
Examples
Example dofile
1 # Use the design_id as "scan." Use this in all the ATPG
2 # runs that this design is read in.
3 set_context patterns -ijtag -design_id <scan>
4
5 # Set the location of the TSDB. Default is the current working
directory
6 set_tsdb_output_directory ../tsdb_outdir
7
8 # Read the Tessent Cell Library
9 read_cell_library \
10
../../library/standard_cells/tessent/NangateOpenCellLibrary.tcelllib
11
12 # Read the scan inserted netlist and elaborate the design
13 read_verilog ../3.synthesize_rtl/<current_core>_scan.vg
14
15 # Read the -no_hdl from the last DFT insertion pass
16 read_design <current_core> -design_id <rtl2> -no_hdl -verbose
17 read_verilog ../../../library/memories/SYNC_1RW_8Kx16.v
18 set_current_design <current_core>
19
20 # Specify the design level before writing out a softlink of the
design in
21 # TSDB
22 set_design_level physical_block
23 write_design -tsdb -softlink_netlist -verbose
24 exit
procedure load_unload =
scan_group grp1 ;
timeplate gen_tp1 ;
// cycle 0 starts at time 0
cycle =
force clock1 0;
force clock2 0;
force scan_enable 1 ;
end ;
apply shift 76;
end;
1. It creates connections from the current level EDT logic to the wrapped child cores' wrapper
chains for the paths depicted in red in Figure 48.
The external mode logic and chains of child cores become part of the current test coverage.
2. It also creates logic from the current level wrapper chain to the upper-level logic, marked in green
in Figure 48. Logic added at this stage includes the muxes controlled by dft_signals, ports at the
module boundary, and connections between those ports and the EDT logic. This enables the
external mode testing of the wrapped child core from the upper level.
Note:
The line numbers used in this procedure refer to the command flow dofile in “Example Dofile for
Pre-ATPG Connections for Wrapped Cores” on page 275.
Prerequisites
• You must have performed the two-pass DFT insertion as described in “Perform Two-Pass DFT
Insertion and Synthesis for Wrapped Cores” on page 266.
• You must have inserted scan using your third-party scan insertion tool per the guidelines provided
in “Third-Party Scan Insertion for Wrapped Cores” on page 267.
Procedure
1. Load the design. (Refer to lines 1-8.)
3. Make the red connections shown in Figure 48 on page 274. (Refer to lines 13-27.)
4. Make the ports, logic, and connections shown in green in Figure 48. (Refer to lines 29-44.)
Examples
Prerequisites
• You must have completed the “Performing Wrapped Core Graybox Generation and ATPG Pattern
Generation” on page 276 step for each wrapped core.
• You must have inserted scan with your third-party scan insertion tool per the guidelines cited
in “Third-Party Scan Insertion for Wrapped Cores” on page 267 for each wrapped core, and
• If required, make ATPG connections using the process outlined in “Make Pre-ATPG Connections
With Third-Party Scan for Wrapped Cores” on page 273 for each wrapped core.
Procedure
1. Generate the graybox model for the wrapped core and add a scan group of wrapper chains
by importing the test procedure file you created during Third-Party Scan Insertion for Wrapped
Cores—refer to “Example Graybox Generation” on page 277 and “Performing ATPG Pattern
Generation: Wrapped Core” on page 233.
To generate external patterns to check fault coverage for the wrapped core, refer to “Example
External ATPG Pattern Generation” on page 278. You do not retarget these patterns.
As long as the scan mode (scan chains and test logic) is stitched conforming to DRC, Tessent
Shell generates internal ATPG patterns formed in the correct way.
2. Save the design and write the patterns to the TSDB using the write_tsdb_data command.
write_tsdb_data -replace
3. Repeat Steps 1 and 2 for each wrapped core to generate transition patterns.
All of the information is passed by the Tessent Shell through the TSDB.
Results
When you complete graybox and ATPG for each wrapped core, perform scan insertion for the top chip.
Refer to “Top Chip DFT Insertion With Third-Party Scan” on page 280 for complete flow details.
Examples
Figure 49. Two-Pass Insertion Flow for RTL, Top Level, and Third-Party Scan
Prerequisites
• Before you perform the top level steps, all the child cores must be ready with their retargetable
patterns. A spec form for the top level logic is also recommended to define the number of scan
chains and what DFT instruments need to be inserted.
• You must have completed the Perform Two-Pass DFT Insertion and Synthesis for Wrapped
Cores step for each wrapped core.
• You must have completed the Third-Party Scan Insertion for Wrapped Cores step for reach
wrapped core.
• If required, you must have completed the Make Pre-ATPG Connections With Third-Party Scan for
Wrapped Cores step for each wrapped core.
• You must have completed the Performing Wrapped Core Graybox Generation and ATPG Pattern
Generation for each wrapped core.
Procedure
1. Set the design level to chip for the top level of the chip and insert DFT for MemoryBIST and
Boundary Scan. Refer to “First DFT Insertion Pass: Performing MemoryBIST and Boundary Scan”
on page 193.
2. Insert top-level EDT and OCC. Refer to “Second DFT Insertion Pass: EDT, Hybrid TK/LBIST, and
OCC” on page 198.
Note:
You must correctly define the scan_chain_count of the EDT, to include all scan chain
counts of child cores in addition to the top-level scan chain count.
Results
You now have a design ready for top level scan insertion with your third-party scan insertion tool. Proceed
to “Third-Party Scan Insertion for Top Chip” on page 281.
Usage Guidelines
• Top level logic does not require wrapper chains as all primary inputs and primary outputs are
controllable.
• The Tessent Shell environment get_dft_info_dictionary command offers you a method to access
the Tessent Database (TSDB) to use this information with your third-party scan insertion tool.
The command reads the scan information from the TSDB’s dft_inserted_designs directory,
specifically in a Tcl dictionary file named <design_name>.dft_info_dictionary.
This file can be sourced to any Tcl script engine. The file contains the information about the DFT
inserted in the design that must be considered during scan insertion when using a third-party
scan insertion tool and contains the following sections:
◦ modules_with_chains — Contains all modules that already scanned, which means that they
should be stitched into scan chains as sub-chains.
◦ edt_instances — Contains and describes the EDT modules that the scan changes connect to.
• The Tessent Shell environment provides a mechanism for generating an example usage script
you can customize to work with your third-party scan insertion tool. In the Tessent Shell tool, you
invoke the following commands:
read_verilog design_netlist
source \
../
tsdb_outdir/dft_inserted_designs/design_name.last_DFT_insertion_design
_id/design_name.dft_info_dictionary
get_dft_info_dictionary -example_usage_script
After issuing these commands, the tool creates an example usage script. Use this script as a
starting example to convert the dictionary into the specific commands used by your third-party
scan insertion tool. In the file, the tool inserts pound signs (#) with comments that specify which
actions your third-party tool must perform. For example:
• If required and after you have inserted the scan using your third-party tool, you can proceed to
“Make Pre-ATPG Connections With Third-Party Scan for Top Chip” on page 283.
• When you have completed scan insertion, the next step is to “Perform Top-Chip ATPG Pattern
Generation and Wrapped-Core Pattern Retargeting” on page 285.
Note:
The line numbers used in this procedure refer to the command flow dofile in “Example Dofile for
Pre-ATPG Connections for Top Chip” on page 284.
Prerequisites
• You must have performed the two-pass DFT insertion as described in “Perform Two-Pass
Insertion and Synthesis for Top Chip” on page 280.
• You must have inserted scan using your third-party scan insertion tool per the guidelines provided
in “Third-Party Scan Insertion for Top Chip” on page 281.
Procedure
1. Load the design. (Refer to lines 1-10.)
Examples
Prerequisites
• You must have completed the “Perform Two-Pass Insertion and Synthesis for Top Chip” on
page 280 step for the top chip.
• You must have inserted scan with your third-party scan insertion tool per the guidelines cited in
“Third-Party Scan Insertion for Top Chip” on page 281 for the top chip and written the scan-
inserted netlist back into the Tessent Shell Database.
• If required, make ATPG connections using the process outlined in “Make Pre-ATPG Connections
With Third-Party Scan for Top Chip” on page 283 for the top chip.
Procedure
1. Retarget the internal ATPG patterns for each wrapped core. Refer to “Performing Top-Level ATPG
Pattern Retargeting” on page 251.
Refer to “Top-Level ATPG Pattern Generation Example” on page 286 for details on top-level
ATPG. Verification of these patterns is a must to ensure that the circuit functions.
Refer to “Pattern Retargeting of Each Child Core Example” on page 287 for details on the
retargeting of patterns for each child core.
Depending on tester channel availability on how many cores can be run in parallel, the internal
mode ATPG patterns from each of the lower-level cores can be retargeted to the chip-level top.
2. Save the design and write the patterns to the TSDB using the write_tsdb_data command.
write_tsdb_data -replace
Examples
# Configure top level DFT signal values to edt_mode and all wrapped child
# core instances to external mode:
set_static_dft_signal_values edt_mode 1
set_static_dft_signal_values ltest_en 1
set_static_dft_signal_values tck_occ_en 1
set_static_dft_signal_values int_ltest_en 1
# Read in the internal mode core description file of current child core
# by add_core_instance:
# -mode should match the mode while generating internal mode patterns
add_core_instances -instance <current_core_instance_name> \
-core <current_core_name> -mode edt_int_stuck
# Configure top level DFT signal values to retargeting_mode for this exact
# child core:
set_current_mode retarget1_<current_child_core_name>_stuck
# Configure top level to retargeting mode for current child core
set_static_dft_signal_values retargeting1_mode 1
Tip
Before performing RTL DFT insertion, familiarize yourself with “RTL DFT Analysis and Insertion”
on page 141.
This section builds on the "Tessent Shell Flow for Flat Designs" and "Tessent Shell Flow for Hierarchical
Designs" sections to add RTL test points.
Refer to the following test case for a detailed usage example of the flow described in this section:
tessent_rtldft_hierarchical_flow_<software_version>.tgz
<software_release_tree>/share/UsageExamples/
This example modifies only a portion of the steps for the “RTL and Scan DFT Insertion Flow for Physical
Blocks” on page 221. The following steps remain unchanged:
• “Running Recommended Validation Step for Pre-Layout Design Sign Off” on page 238
The following sections describe the differences from the standard insertion flows when inserting test
points and wrapper cells in RTL designs:
The line numbers in the following procedure refer to the dofile in Figure 51 on page 289.
Prerequisites
• An RTL physical block with MemoryBIST hardware inserted.
Procedure
1. Open the design and prepare for the second insertion pass by following the steps from the basic
flow. (Lines 1-23)
2. Register the enable signals for test points and wrapper cells. (Lines 25-27)
3. Register the other DFT signals and check the pre-DFT design rules. (Lines 29-47)
4. Specify the EDT and OCC instruments for insertion. (Lines 49-95)
5. Set up for wrapper cell insertion by performing the following steps (Lines 97-107):
a. Specify dedicated wrapper cells for output ports with no functional source.
c. Run the analyze_wrapper_cells command to identify the locations to insert wrapper cells.
6. Insert the EDT, OCC, and wrapper cells with the process_dft_specification command. (Lines
109-110)
7. Extract the ICL model and simulate to verify correct insertion by following the steps from the basic
flow. (Lines 112-129)
Results
An RTL physical block with inserted MemoryBIST, EDT, OCC, and wrapper cells that is ready for the next
step (inserting RTL test points).
Examples
The following example script highlights the Tessent flow modifications (in orange) for DFT logic insertion
at RTL.
105 analyze_wrapper_cells
106 report_wrapper_cells -verbose > WC_at_RTL_Verbose.rpt
107 report_wrapper_cells -summary > WC_at_RTL_Summary.rpt
108
109 # Generate and insert the hardware
110 process_dft_specification
111
112 # Extract IJTAG network and create ICL file for core level
113 extract_icl
114
Prerequisites
• An RTL physical block with all test instruments inserted except test points and scan chains.
The line numbers in the following procedure refer to the dofile in Figure 52 on page 293.
Procedure
1. Open the design and prepare for another insertion pass by following the steps from the basic flow.
(Lines 1-20)
a. Set the goal of test point insertion as reducing the number of embedded deterministic test
(EDT) patterns with the set_test_point_type command.
c. Specify the enable signals for control points and observe points.
d. Specify practical options for test point analysis that mimic synthesis by excluding floating and
tied logic, and consider only the editable portions of the designs.
3. Assess the complexity of the RTL and its suitability for test point insertion with the
report_rtl_complexity command. (Lines 38-39)
Optionally, edit the RTL design to reduce complexity and facilitate test point insertion.
4. After checking design rules (to elaborate the design and transition to analysis mode), report the
RTL complexity again to get a detailed analysis of potential test point locations. (Lines 41-49)
This example uses the "-effort low" argument of the report_rtl_complexity command to analyze
only the editable regions of the design. You can also use the "-effort high" argument of the
report_rtl_complexity command to analyze both the editable and non-editable regions of the
design.
5. Determine the optimal locations to insert test points with the analyze_test_points command. (Lines
51-52)
6. Use the report_test_points and report_rtl_complexity commands to understand the number of test
points and the types of locations. (Lines 54-55)
7. Insert the RTL test points in the RTL design with the process_dft_specification command. (Lines
57-61)
Results
An RTL physical block with test points inserted that is ready for synthesis.
Examples
The following example script inserts test points in an RTL physical block.
Prerequisites
• A gate-level design with test points and wrapper cells already inserted. Prior to scan chain
insertion, you must perform synthesis as described in “Performing Synthesis” on page 209.
• Familiarity with “Performing Scan Chain Insertion (Flat Design)” on page 210 and “Performing
Scan Chain Insertion: Wrapped Core” on page 229.
The line numbers in the following procedure refer to the dofile in Figure 53 on page 296.
Procedure
1. Open the gate-level design and prepare for the scan insertion pass by following the steps from the
basic flow. (Lines 1-32)
a. Identify the wrapper cells inserted at RTL to enable the tool to find locations for incremental
wrapper cell insertion with the set_wrapper_analysis_options command.
b. Insert the wrapper cells and report by running the analyze_wrapper_cells command.
5. Perform any scan chain stitching and incremental insertion of test points and wrapper cells with the
insert_test_logic command. (Lines 80-82)
6. Analyze the reports for scan chains, wrapper cells, and test points. (Lines 84-87)
Results
A physical block at the gate level that is ready for ATPG and the rest of the Tessent flow.
Examples
The following example script highlights the Tessent flow modifications (in orange) for incremental DFT
logic insertion:
Figure 53. Example of Scan Insertion With Incremental Test Point and Wrapper Cell Insertion
Note:
Because test planning uses a top-down approach, knowledge of the layout placement of tiles,
including the DFT ports, is required. Use set_dft_specification_requirements ‑design_type tile for
all DFT insertions. This prevents any modification to tile boundaries, as the tool does not edit the
layout.
The functional clock tree is localized within each tile and controlled by dedicated On‑Chip Clock
Controllers (OCCs).
A tile-based design affects different aspects of DFT insertion, and the Tessent Shell flow provides the
following capabilities:
• Tile — A tile is a physical block designed to abut to other tiles at the top level directly.
• Tile-based design — A tile-based design has tiles abutted at the chip level. Therefore, there are
just wire connections and no logic between the tiles, at the chip level. The chip acts as only a
container for the tiles. Typically, a tiled design uses mirroring, symmetry, and repeated blocks.
The example schematic, shown in Figure 54, used to demonstrate this flow contains three unique tiles
— C1, C2, and C3. C1 and C2 are mirrored on both sides of C3. Most of the I/O pads are located in the
central C3 tile. For each tile, you perform the insertion process in a bottom-up manner, but there is no
insertion of a standard boundary scan at the chip level. Because the tiling topology affects the insertion
process of instruments, it differs from the hierarchical approach. The tiles must include DFT ports before
the DFT insertion process. Refer to “Chip-Level Port Requirements and Connections” on page 306 for
more information.
The clock in the C1_i1 tile is always a delayed version of the clock in C2_i1 because it is sourced from
a leaf of the C2 clock tree. With this assumption, you extend the propagation window in the forward
path by the value of the TCK clock delay. Figure 62 on page 305 shows a simplified waveform of the
forward propagation. The TCK clock delay reduces the time window for the returning path. The retiming
element of the IJTAG node connected to the scan-out port is removed, making it a posedge-to-posedge
propagation and extending the time window in this case.
Figure 63 on page 305 shows a simplified waveform for this propagation. The gray arrow depicts the
propagation if the retiming stage is present and the path is not relaxed.
The relaxation of return path data propagation is applied to all the Tessent instruments that need
communication between the tiles (IJTAG network, memory BISR chains, and boundary scan chains).
◦ bisr_clock and bisr_trigger can be generated internally and are, therefore, optional ports.
Tip
We recommend that you use the Tessent insertion mode and the TopModuleConnections
specification to integrate DFT logic in tiles if the automation you used to create and connect the
functional ports did not include the DFT ports.
The following example shows a Tessent script using the TopModuleConnections specification to integrate
a tiling design and the syntax of the TopModuleConnections wrapper.
read_config_data \
top.top_level_ports_and_connections
process_top_module_connections
Start the TopModuleConnections specification from a definition of modules that are used in the
integration. Use the ChildBlock wrapper to describe the module and the ports to be used, or to create
them if not available. The Instance wrapper below ChildBlock is used to define the input sources for newly
created input ports on each instance of the ChildBlock module.
TopModuleConnections(<design_name>) {
ChildBlock(<design_name>) {
file_name : <full_path_name> ;
input_ports : <port_name>, ... ;
output_ports : <port_name>, ... ;
Instance(<instance_name>) {
input_port_sources :
<port_pin_name>, ... ;
}
}
}
Note:
The order of sources in the Instance wrapper must correspond to the order of input ports in the
ChildBlock wrapper.
Note:
You also require preexisting ports for embedded boundary scan and memory BISR. Contact your
Siemens representative to refer to the example test case.
• ijtag_tck
• ijtag_reset
• ijtag_ce
• ijtag_se
• ijtag_ue
• ijtag_sel
• ijtag_si
IJTAG client output ports:
• ijtag_so
IJTAG secondary interface input ports:
• <interface_name>_ijtag_from_so
IJTAG secondary interface output ports:
• <interface_name>_ijtag_to_tck
• <interface_name>_ijtag_to_reset
• <interface_name>_ijtag_to_ce
• <interface_name>_ijtag_to_se
• <interface_name>_ijtag_to_ue
• <interface_name>_ijtag_to_sel
• <interface_name>_ijtag_to_si
The following code example shows the part of the ChildBlock wrapper that describes IJTAG ports and
their connections on instance C2_i1:
ChildBlock(c2) {
file_name : ../../design/c2/c2.v;
input_ports : …
#Blue in figure
ijtag_tck,
ijtag_reset,
ijtag_ce,
ijtag_se,
ijtag_ue,
ijtag_sel,
ijtag_si,
#Red in figure
r1_ijtag_from_so,
#Orange in figure
r2_ijtag_from_so,
…
output_ports : …
#Blue in figure
ijtag_so,
#Red in figure
r1_ijtag_to_tck,
r1_ijtag_to_reset,
r1_ijtag_to_ce,
r1_ijtag_to_se,
r1_ijtag_to_ue,
r1_ijtag_to_sel,
r1_ijtag_to_si,
#Orange in figure
r2_ijtag_to_tck,
r2_ijtag_to_reset,
r2_ijtag_to_ce,
r2_ijtag_to_se,
r2_ijtag_to_ue,
r2_ijtag_to_sel,
r2_ijtag_to_si,
…
Instance(c2_i1) {
input_port_sources : …
#Blue in figure
c3_i1/left_ijtag_to_tck,
c3_i1/left_ijtag_to_reset,
c3_i1/left_ijtag_to_ce,
c3_i1/left_ijtag_to_se,
c3_i1/left_ijtag_to_ue,
c3_i1/left_ijtag_to_sel,
c3_i1/left_ijtag_to_si,
#Red in figure
c1_i1/ijtag_so,
#Orange in figure
c1_i2/ijtag_so,
…
}
Instance(c2_i2) {
…
}
}
The following figure shows the schematic of the IJTAG network in the C2 tile and input connections of
C2_i1:
Note:
We recommend you use IJTAG graybox views whenever possible.
Running the extract_icl command generates the IJTAG graybox by default. The IJTAG graybox
generation can also be turned off, as demonstrated in this code example:
extract_icl ‑create_ijtag_graybox off
Note:
For embedded boundary scan, IJTAG, MemoryBIST and MemoryBISR insertion, set the
design_type property to tile using the set_dft_specification_requirements ‑design_type tile
command.
DftSpecification(c1,rtl1) {
allow_port_creation_on_current_design : off;
EmbeddedBoundaryScan {
pad_io_ports : clk_ref, rx_data_in, …;
max_segment_length_for_logictest : 200;
Interface {
scan_out_pipeline : on;
}
BoundaryScanCellOptions {
clk_ref : clock;
}
}
}
The following schematic displays the logic inside the C1 tile with pads:
For more details on how the HostBscanInterface wrapper assembles the boundary scan chain in C1, refer
to Example 1 in HostBScanInterface in the Tessent Shell Reference Manual.
DftSpecification (c2,rtl1) {
EmbeddedBoundaryScan {
# 1 in figure
HostBscanInterface(client){
# 2 in figure
EBScanPipeline(client_out) {
so_retiming : off;
}
# 3 in figure is input pipeline
EBScanPipeling(from_r1) {
}
# 4 in figure is a host interface
SecondaryEBScanInterface(r1) {
}
# 5 in figure is input pipeline
EBScanPipeline(to_r1) {
}
# 6 in figure is output pipeline
The client interface in the resulting schematic (Figure 69 on page 316), requires a pipeline on the scan
output port (client interface marked 1, output pipeline marked 2). The host interfaces require input and
output pipelining.
The logic test support (EDT, OCC, and SSN) is inserted in the next insertion pass. In the tiling flow, new
elements of the IJTAG network are connected below TC SIB, by default. The following figure depicts this:
Figure 71. Schematic of IJTAG Network After Memory BIST and Logic Test insertion in Tile
Sometimes, the IJTAG network requires additional scan interfaces to host neighboring tiles, such as
the C2 tile. In such cases, a dedicated SIB hosts each secondary host scan interface. All SIBs that
host secondary host scan interfaces are collected and hosted by a dedicated Tile Host Collector (THC)
SIB. The THC SIB is placed in series with the TC SIB as shown in Figure 72 on page 319. Use the
create_dft_specification command to provide names of additional scan interfaces.
In the following example, r1 and r2 are two additional SecondaryHostScanInterfaces:
create_dft_specification -tile_ijtag_host_list {r1 r2}
The following code sample presents an example DftSpecification with two additional IJTAG host scan
interfaces:
IjtagNetwork {
#Blue in figure
HostScanInterface(ijtag) {
Sib(tc) {
Attributes {
tessent_dft_function : tile_client_sib;
}
to_scan_in_feedthrough : pipeline;
so_retiming : off;
Sib(sti) {
…
}
}
Sib(thc){
Attributes {
tessent_dft_function : tile_host_collector;
}
• The first client interface, marked "1", accesses the BISR segment of RAM in the tile.
• The second client interface, marked "2", accesses BISR segments in two neighboring tiles that
work in a different power domain, pdgB.
• The third and fourth interfaces, marked 3 and 4, are host interfaces for neighboring
tiles in the power domain pdgB. You can create these interfaces using the
set_dft_specification_requirements command with the ‑memory_bisr_host_list switch.
Place a pipeline element, without the retiming stage, at the end of each of the two BISR chains (the return
path) to provide a full clock period in the loop timing interface (refer to “Timing of Tile-To-Tile Connections”
on page 304).
For more details on how memory BISR chains are inserted into a tile-based design, refer to Example 3 in
MemoryBisr/Secondary Host Chain Interface in the Tessent Shell Reference Manual. The following code
generates and displays the DftSpecification used to insert the MemoryBISR logic:
set_dft_specification_requirements -memory_test on \
‑design_type tile \
-memory_bisr_host_list {pdgB {pdgB_r1 pdgB_r2}}
create_dft_specification -tile_ijtag_host_list {r1 r2}
report_config_data DftSpecification(c2,rtl2)/MemoryBisr
MemoryBisr {
bisr_segment_order_file : c2.bisr_segment_order;
BisrElement("SegmentEnd(*)") {
Pipeline(after) {
so_retiming : off;
}
}
}
The following code sample shows the bisr_segment_order file. It lists the order of the elements in the
BISR chain from scan-out to scan-in:
BisrSegmentOrderSpecification {
#1 in figure
PowerDomainGroup(pdgA) {
OrderedElements {
RAM; // RepairGroup:None BISRLength:24
}
}
#2 in figure
PowerDomainGroup(pdgB) {
OrderedElements {
#3 in figure
"SecondaryHostChainInterface(pdgB_r1)";
#4 in figure
"SecondaryHostChainInterface(pdgB_r2)";
}
}
}
This example shows the commands to add DFT signals in logic test:
The following code is the DftSpecification to insert EDTs for internal and external modes:
EDT {
ijtag_host_interface : Sib(edt);
Controller(c1_int) {
longest_chain_range : 10, 50;
scan_chain_count : 15;
input_channel_count : 4;
output_channel_count : 3;
Connections {
mode_enables : DftSignal(int_edt_mode);
}
}
Controller(c1_ext) {
longest_chain_range : 10, 50;
scan_chain_count : 3;
input_channel_count : 1;
output_channel_count : 1;
Connections {
The SSN network inserted in the C1 tile does not require any SSN bus multiplexing or SSN extra output
paths. The network begins with the receiver input pipeline stage, followed by SSH, and ends at the
output pipeline stage. You can use the DftSpecification from the following code sample to insert the SSN
network:
The SSN network inserted in the C2 tile has multiplexers to include or exclude neighboring tiles from the
SSN datapath. The following example DftSpecification inserts an SSN network in the C2 tile:
SSN {
ijtag_host_interface : Sib(ssn);
DataPath(1) {
output_bus_width : 4;
// 1 in Figure
Pipeline(1) {
}
// 2 in Figure
ScanHost(1) {
}
// 3 in Figure
Multiplexer (from_r2) {
Connections {
secondary_bus_data_in : r2_ssn_from_bus _out[3:0];
}
}
// 4 in Figure
Multiplexer (from_r1) {
Connections {
secondary_bus_data_in : r1_ssn_from_bus_out[3:0];
}
// 5 in Figure
ExtraOutputPath {
Connections {
bus_clock_out : r2_ssn_to_bus_clock;
bus_data_out : r2_ssn_to_bus_data_in[3:0];
}
pipeline (r2) {
}
}
}
// 6 in Figure
Receiver1xPipeline (in) {
//7 in Figure
ExtraOutputPath {
Connections {
bus_clock_out : r1_ssn_to_bus_clock;
bus_data_out : r1_ssn_to_bus_data_in[3:0];
}
pipeline (r1) {
}
}
}
}
}
The following figure shows the schematic of the SSN network in the C2 tile:
# To ensure that there are no sources of X left over in both internal and
external modes
set_drc_handling E5 error
import_scan_mode int_edt_mode
check_design_rules
set_system_mode setup
import_scan_mode ext_edt_mode
#Enable boundary scan isolation
#Only if ebscan segments are present in the tile
set_static_dft_signal_values bscan_input_isolation_enable 1
set_static_dft_signal_values output_pad_disable 1
check_design_rules
analyze_graybox
write_design -tsdb -graybox
After scan insertion, you must generate scan graybox and IJTAG graybox views. Generate these
views after importing external test mode. To generate the IJTAG graybox, you can refer to the following
example:
2. Change the setup of the DFT instruments, such as OCCs or DftSignals, if necessary, to meet the
requirements.
3. Use the set_current_mode <name> ‑type internal command to set a new name for the current
ATPG mode.
Note:
All scan modes must be of "internal" type. This means that logic test responses are
captured to scan chains only, and there is no external capture of ports from the tester side,
except for SSN bus outputs. The external scan mode used to detect faults on tile-to-tile
connections must be declared as "internal".
4. Save the ATPG mode and pattern data for future retargeting using the write_tsdb_data command.
The following code imports the internal scan mode, generates patterns for the internal mode, and then
saves them. In this example, the Tcl script (../utilities/write_testbenches.tcl) to automate writing patterns
is used. It invokes the write commands multiple times to save commonly used pattern sets. You can also
save the patterns using the write_patterns command.
check_design_rules
set_fault_type stuck
create_patterns
write_tsdb_data -replace
# source ../utilities/write_testbenches.tcl
# Either use the Tcl script above or use write_patterns command calls as
shown below
write_patterns patterns/parallel.v \
-serial -verilog -replace \
-param_list $param_list \
-generate_info_file_dictionary on \
-pattern_sets scan
write_patterns patterns/loopback.v \
-serial -verilog -replace \
-param_list $param_list \
-generate_info_file_dictionary on \
-pattern_sets ssn_loopback
The generation of external mode patterns is almost identical to the generation of internal mode patterns.
The following code example generates an external scan mode and patterns for it. After importing scan
mode ext_edt_mode, two signals to provide scan isolation are set to 1, and then specify the ATPG mode
using the set_current_mode command. Run the write_tsdb_data command after check_design_rules to
save the ATPG mode in the Tessent Shell Database (TSDB).
set_static_dft_signal_values bscan_input_isolation_enable 1
set_static_dft_signal_values output_pad_disable 1
check_design_rules
set_fault_type stuck
set_atpg_limit -p 128
create_patterns
write_tsdb_data -replace
write_patterns patterns/parallel.v \
-serial -verilog -replace \
-param_list $param_list \
-generate_info_file_dictionary on \
-pattern_sets scan
write_patterns patterns/loopback.v \
-serial -verilog -replace \
-param_list $param_list \
-generate_info_file_dictionary on \
-pattern_sets ssn_loopback
During pattern generation, you can import the saved ATPG modes from the TSDB using the
add_core_instances command. The values of any required signals and other settings related to the
imported ATPG modes are also automatically restored. Refer to the code example in Tile-to-Tile Test at
the Package Level where the external ATPG modes of the tiles are used to configure the internal ATPG
modes of the chip.
For transition faults, you must generate dedicated ATPG scan modes and patterns again. The Tessent
Shell scripts to generate these patterns are similar. However, you must create the ATPG mode using an
additional switch -fast_capture_mode on and use the set_fault_type command to set the fault type to
transition.
Note:
The run_testbench_simulations command is used to simulate the created ATPG patterns, as
explained in “ATPG-Based Testbench Simulation” on page 329.
set_simulation_library_sources \
-Y ../../library/macros/ -extensions {v} \
-V ../../library/cells/adk.v
run_testbench_simulations
Insert embedded boundary scan logic using the set_design_level sub_block command. This connects
the inserted DFT signals to the newly created ports. Refer to Example 1 in HostBScanInterface in the
Tessent Shell Reference Manual for more details on how the HostBscanInterface wrapper assembles
the boundary scan chain in C3. The bscan_inout_isolation_enable DFT signal is required to reuse the
embedded boundary scan segments as scan isolation during logic test in external mode. The IJTAG
graybox views for these modules are created automatically during ICL extraction as described in
“Creation of IJTAG Graybox” on page 310.
The following code example shows the commands to create the required DFT signals:
Figure 76. Embedded Boundary Scan Segment With Logic Test Support Ready for Integration
When the blocks with pads are complete, then perform the insertion of TAP and boundary scan logic to
access other tiles. The IJTAG graybox views of the dedicated containers with pads (bottom pads and
top pads modules) must be read in the next steps. Because the design_level is set to physical_block,
you must force the insertion of the TAP interface using the set_dft_specification_requirements
‑host_scan_interface_type tap command (tap is the default type when design_level is chip).
The following code shows the setup used to integrate embedded boundary scan in the C3 tile:
Use DftSpecification to perform the integration of embedded boundary scan segments with TAP and
insertion of boundary scan logic. The following code sample shows the modifications to the default
specification:
This code sample shows the detailed description of the specification used to integrate boundary scan in
the C3 tile:
EmbeddedBoundaryScan {
HostBscanInterface(tap) {
Interface {
ijtag_host_interface :
Tap(main)/HostBscan;
}
EBScanPipeline(from_right) {
}
SecondaryEBScanInterface(right) {
}
EBScanPipeline(to_right) {
}
// 2 in Figure
EBScanInstance(top_pads) {
}
// 3 in Figure
EBScanPipeline(from_left) {
}
SecondaryEBScanInterface(left) {
}
EBScanPipeline(to_left) {
}
EBScanInstance(bottom_pads) {
}
}
}
Note:
This is not a mandatory step but helps in early verification of the boundary scan network at the tile
level. You can also verify the boundary scan network after the integration of tiles at the chip level.
The following Tessent Shell script is used to add loopbacks, extract a fake BSDL file, generate patterns,
and simulate the generated patterns:
# Close the host bscan scan interfaces and extract the fake BSDL file for
C3 for early verification
foreach bscan_host {left right} {
lappend inputs [get_ports ${bscan_host}_bscan_from_scan_out]
lappend outputs [get_ports ${bscan_host}_bscan_to_scan_in]
}
add_loadboard_loopback_pairs -inputs $inputs -outputs $outputs
set_flat_model_options -emulate_loadboard_loopback_pairs on
Example 3 in IJTAG Network in the Tessent Shell Reference Manual to build the IJTAG network in the
tiling flow. The following example shows the DftSpecification to insert the IJTAG network in the C3 tile:
IjtagNetwork {
HostScanInterface(sti) {
Interface {
design_instance: c3_rtl1_tessent_sib_sri_inst;
scan_interface : client;
}
Sib(thc) {
Attributes {
tessent_dft_function : tile_host_collector;
}
}
Sib(th_right) {
to_scan_in_feedthrough : pipeline;
SecondaryHostScanInterface(right) {
}
Sib(th_left) {
to_scan_in_feedthrough : pipeline;
SecondaryHostScanInterface(left) {
}
The following figure shows the schematic of the inserted IJTAG network. You can insert the IJTAG
network with the MBIST and MBISR instruments simultaneously.
set_dft_specification_requirements \
-memory_test on \
-memory_bisr_controller on \
-design_type tile \
-memory_bisr_host_list {pdgA {pdgA_left pdgA_right} \
pdgB {pdgB_left pdgB_right}}
The part of the default DftSpecification that describes the memory test does not require any modifications.
Read in the DEF file with coordinates for memories and ports using the read_def command to generate
and stitch the BISR segments in layout-aware order. If the BISR segments are not in the correct order,
edit the generated bisr_segment_order_file before processing DftSpecification. You can print the
generated bisr_segment_order_file using the cat command.
For more information, refer to Example 3 in MemoryBisr/Secondary Host Chain Interface. The following
code example shows the contents of the bisr_segment_order_file generated for the C3 tile:
BisrSegmentOrderSpecification {
PowerDomainGroup(pdgA) {
OrderedElements {
"SecondaryHostChainInterface(pdgA_left)";
"SecondaryHostChainInterface(pdgA_right)";
}
}
PowerDomainGroup(pdgB) {
OrderedElements {
"SecondaryHostChainInterface(pdgB_left)";
"SecondaryHostChainInterface(pdgB_right)";
}
}
}
You cannot calculate the length of the BISR chains from the scope of the C3 tile with the DftSpecification.
So you must set the repair_word_size and zero_counter_bist properties in the MemoryBisr/
Controller/AdvancedOptions wrapper using the set_config_value command.
The SDC input/output delay constraints for the SecondaryHostScanInterface BISR ports are derived from
a Tcl dictionary. The tessent_set_default_variables proc in the SDC file defines the bisr_shsi_delay Tcl
dictionary. This dictionary documents the default input/output delay percentages relative to their clocks
(functional or TCK). The following is an example of a bisr_shsi_delay dictionary:
set bisr_shsi_delay {
scan_in {
direction input
delay_pct {
Functional {
min 0.25
max 0.25
}
TCK {
min 0.25
max 0.25
}
}
}
scan_out {
direction output
We recommend using the default values. However, you can specify different values before running the
tessent_set_non_modal SDC procedure, as follows:
dict set bisr_shsi_delay <SignalName> delay_pct {Functional|TCK} {min|max} <value>
For example, the maximum delay for the to_shift_en signal can be set to 23% (instead of 25%) for TCK
(IJTAG) mode:
dict set bisr_shsi_delay to_shift_en delay_pct TCK max 0.23
SSN {
ijtag_host_interface : Sib(ssn);
DataPath(1) {
output_bus_width : 4;
Connections {
bus_clock_in : core_data_in[4];
bus_data_in : core_data_in[3:0];
bus_data_out : core_data_out[3:0];
}
# 1 in figure
Pipeline(1) {
}
# 2 in figure
The following figure is the schematic of the SSN network in the C3 tile. The path in red is a default SSN
data path after reset:
ATPG pattern generation is not dependent on the presence of test instruments and is similar for all the
tiles.
Refer to Patterns Generation in Tiles Without a TAP Controller to perform pattern generation.
• Define the setup for SSN multiplexing and concatenate it with the test setup procedure
(set_test_setup_icall).
• Define the set of functional clocks in case of transition patterns (for stuck patterns use test_clock).
all_stuck {
instances {
c1_i1 {
mode_name int_edt_mode_stuck
fault_type stuck
}
c1_i2 {
mode_name int_edt_mode_stuck
fault_type stuck
}
c1_i3 {
Figure 81 shows the SSN network configuration for the all_stuck mode. The active data path is marked in
red. The red multiplexers require reconfiguration; ICL instances of these multiplexers are listed under the
ssn_muxes key in the retargeting dictionary.
The following code shows part of the dictionary for single-tile retargeting of transition patterns:
c1_i2_tdf {
instances {
c1_i2 {
mode_name int_edt_mode_tdf
fault_type transition
}
}
ssn_muxes {
c2_i1.c2_rtl3_tessent_ssn_mux_from_r2_inst.setup
c3_i1.c3_rtl3_tessent_ssn_mux_from_left_inst.setup
}
}
Figure 82 shows the SSN Network configuration for this mode. You do not need to reconfigure the
multiplexers marked in black but those marked in red need reconfiguring. The setup procedures related to
the ICL instances of these multiplexers are listed under the ssn_muxes dictionary key.
The retargeting dictionary must be processed during the Tessent setup phase.
Tip
We recommend using the automated script attached to the demonstration test case. The script
reads the dictionary, performs the Tessent setup, and saves the retargeted pattern. Contact your
Siemens representative for more information.
The following code is from a sample dofile that operates on the retargeting dictionary:
# These settings force the BISR controller not to disturb the BISR chains
set_static_dft_signal_values -icl_instance c3_i1 ltest_en 1
if {$retargeting_mode_name ni {c3_i1_tdf all_tdf}} {
add_input_constraints bisr_clock -c0
}
Create the PatternSpecification for the ATPG testbenches using the create_patterns_specification
command with the -testbench_files switch and provide a list of written patterns.
Simulating the retargeted patterns is automated with the PatternsSpecification flow. The ‑testbench_files
switch ensures that the simulator compiles and uses the correct design view for each child block of the
design.
The following code shows the created PatternsSpecification:
PatternsSpecification(chip,gate,c1_i2_tdf_signoff) {
Patterns(chip_c1_i2_tdf_loopback) {
SimulationOptions {
LowerPhysicalBlockInstances {
c1_i2 : full;
c2_i1 : ijtag_graybox;
c3_i1 : ijtag_graybox;
}
Note:
The core C2_i1 and C3_i1 design views are set as IJTAG grayboxes because this view is
sufficient for simulating the internal mode scan patterns of the C1_i2 core.
process_patterns_specification
set_simulation_library_sources -v ../data/adk.lib/verilog/adk.v -y ../data/mems -extension v
run_testbench_simulations
foreach ssn_mux {
c2_i1.c2_rtl3_tessent_ssn_mux_from_r1_inst \
c2_i1.c2_rtl3_tessent_ssn_mux_from_r2_inst \
c2_i2.c2_rtl3_tessent_ssn_mux_from_r1_inst \
c2_i2.c2_rtl3_tessent_ssn_mux_from_r2_inst \
c3_i1.c3_rtl3_tessent_ssn_mux_from_left_inst \
c3_i1.c3_rtl3_tessent_ssn_mux_from_right_inst} {
set_test_setup_icall \
[list ${ssn_mux}.setup select_secondary_bus 1] ‑append -merge
}
check_design_rules
set_fault_type stuck
create_patterns
write_tsdb_data -replace
write_patterns chip_atpg.testbenches/chip_ext_edt_mode_stuck_parallel.v \
-verilog -replace -pattern_sets scan
You can simulate saved patterns using run_testbench_simulations. Refer to the code sample in
Verification and Simulations.
This flow assumes that within the post-layout netlist, modules exist for the IJTAG network and inserted
EDT and OCC instruments. That is, they remain distinct logical entities. Refer to Post-Layout Validation
When You Have Ungrouped IJTAG/OCC/EDT Logic if you have ungrouped (unpreserved) logic.
Prerequisites
• You have previously performed the pre-layout flow so that you have the post-scan inserted DFT
data files (ICL, PDL, TCD, and so on).
Procedure
1. Load the design, including the post-layout netlist (lines 1-16). Ensure that you specify a unique
design ID, such as "post_layout".
2. Add any black boxes, as applicable, and set the design level, which can be chip, physical_block, or
sub_block (lines 18-23).
3. Save the updated netlist (line 24). Ensure that you use the ‑softlink_netlist option with the
write_design command.
Using this switch references the post-layout netlist rather than copies it into the TSDB. This
prevents duplication and enables the post-layout netlist to be updated without the need to repeat
this step.
Examples
The following example generates an updated netlist for a wrapped core named processor_core that
includes a soft link to the core’s post-layout netlist.
Prerequisites
• You have generated a soft link in the TSDB that points to the post-layout netlist as described in
Soft Link TSDB and Post-Layout Netlist.
Procedure
1. Load the design by using read_design and pointing to the soft-linked post-layout netlist (lines 1-9).
2. If needed, add black boxes, and then check the design rules (lines 11-14).
3. Create, simulate, and check the testbenches (refer to lines 16-24). Refer to
create_patterns_specification and process_patterns_specification in the Tessent Shell Reference
Manual for details.
Examples
Verify the Patterns with a Customized Patterns Specification from Pre-Layout Signoff
You may have customized a patterns specification during pre-layout signoff. You can read in this patterns
specification that was stored in the TSDB during pre-layout signoff. Use the read_config_data command
instead of the create_patterns_specification command.
The following example shows how to read in a customized patterns specification from pre-layout netlist.
The pre-layout patterns specification "processor_core_gate.patterns_spec_signoff" was used to create
the patterns on the gate-level scan-inserted netlist.
Prerequisites
• In the SDC that was created during ICL extraction on page 208 for the pre-layout DFT insertion
flow, set the tessent_get_preserve_instances proc to add_core_instances when you do not need
grayboxes. For example, when you are working with flat designs.
For wrapped cores, set the tessent_get_preserve_instances proc to icl_extraction to
automatically include ICL instances in the grayboxes.
The SDC file is located in the TSDB directory under dft_inserted_designs. Refer to Timing
Constraints (SDC) for more information.
Procedure
1. Load the design (lines 1-9). Ensure that you set the context to patterns -scan and read in the soft-
linked post-layout netlist.
2. Set the current mode (lines 11-12). Specify a different name than that used during scan insertion
and used during pre-layout pattern generation.
3. Perform the remainder of the ATPG pattern generation flow (refer to lines 14-35).
Examples
The following example shows how to verify scan-inserted ATPG patterns by using the patterns -scan
context and a post-layout netlist. This example shows the flow for a flat design. For hierarchical designs,
refer to Performing ATPG Pattern Generation: Wrapped Core for specifics related to wrapped cores and
Top-Level ATPG Pattern Generation Example for a top-level example.
The best way to avoid complications related to ungrouping in layout is to use the
tessent_get_preserve_instances procedures in the generated SDC file to identify which instances must
be preserved based on their intended uses. Refer to the Synthesis Helper Procs section in the Tessent
Shell User’s Manual.
If you expect the layout process to ungroup the test instruments, you can preserve the hierarchical
names by adding persistent buffers. You must generate the IP using the DftSpecification. The
add_persistent_buffers_in_scan_resource_instruments property is on by default. With persistent
buffers present, you can run the add_core_instances command directly on the IP instance, even if it is
ungrouped. Otherwise, you must follow the example using “add_core_instances ‑current_design” if the IP
is ungrouped without persistent buffers present.
Prerequisites
• If you do not preserve the instances, you must write out a TCD file for every mode of operation at
the core level before you perform layout. In some cases, you may not need to generate patterns
until after layout, but even then, you must run ATPG before layout to generate the core-level TCD
files. Failure to perform this task could result in R14 or R15 rule check errors.
Procedure
1. Soft link the post-layout netlist to the TSDB. Refer to Soft Link TSDB and Post-Layout Netlist for
more information.
a. When loading the design (line 4), specify the same design that you used to write the post-
layout netlist to the TSDB, for example "post_layout".
Using the same design ID for the graybox model that you used for the post-layout netlist
enables Tessent to access the full design view or the graybox model with the same design ID.
b. Read the core description for external mode using the add_core_instances command (line 9).
Because you already ran the pre-layout ATPG step and saved the TCD file to the TSDB, the
add_core_instances command can read the existing TCD file and add the core instances that
are active in external mode.
c. Use analyze_graybox to generate the graybox (line 13). If the IJTAG network was ungrouped
in layout, the analyze_graybox command can automatically find and preserve the IJTAG SRI
network as long as the default names of the logic have not changed.
3. If you are running in hierarchical mode, run ATPG on the core’s internal mode of the wrapped core
to generate the ATPG patterns that you retarget at the top level of the chip. If you are running in
hierarchical top or in flat mode, run ATPG.
The line numbers in this example refer to example “Run ATPG on the Core’s Internal Mode” on
page 360.
a. Specify a unique ATPG mode name with the set_current_mode command (line 10). Append
the name with "_post_layout" or "_final" to clarify which mode to use for silicon diagnosis, and
then set the current mode type to "internal".
b. Add the core instances using the design ID and mode from the pre‑layout step (line 15).
This loads the TCD file that contains the information for the design’s core instances. It
is unnecessary to match these instances to the test logic instances that may have been
ungrouped during layout.
c. (Optional) Use the read_faults command to merge the fault list from running external mode to
find the total overall fault coverage of the wrapped core (line 37).
When you run ATPG in internal mode for transition patterns, you must do the following:
• Specify a unique name for the ATPG run with the set_current_mode command. For example,
edt_int_tdf_final.
• Use the add_core_instances command to read the transition mode TCD. For example:
set_fault_type transition
• You can optimize the number of capture cycles used by the OCCs by specifying the optional
capture_window_size parameter. The following command specifies a capture_window_size of
2:
Examples
• enumerations
• unpacked arrays
• unpacked structs
• SystemVerilog interfaces
You can also create the simulation wrapper manually with the get_current_design, report_current_design,
and write_design commands.
The tool reports a warning if read_design encounters ports that can cause issues in simulation or in the
TSDB flow:
// Warning: The design 'sv_mod1' just read has ports with 'unpacked struct'/'enumerate'
// datatypes declared in Global ($unit) or local scope ('sv_mod1' scope).
// If you try to elaborate this design within its parent, you will get an error.
// It will only work if this design is your current design.
These issues typically arise when port declarations use data types that are not visible to the tool. The
warning indicates that a call to set_current_design of the parent block raises additional warnings. Use
report_current_design after running set_current_design to obtain more information about these ports.
The following example shows how the simulation wrapper is created during extract_icl:
// command: extract_icl
// Writing simulation wrapper : \
./tsdb_outdir/dft_inserted_designs/sv_mod1_RTL1.dft_inserted_design/sv_mod1
.sv09_simulation_wrapper
// Note: Updating the hierarchical data model to reflect RTL design
changes.
// Writing design source dictionary : \
./tsdb_outdir/dft_inserted_designs/
sv_mod1_RTL1.dft_inserted_design/sv_mod1.design_source_dictionary
// Flattening process completed, cell instances=111, gates=1310, PIs=470,
POs=166, CPU time=0.04 sec.
// --------------------------------------------------------------------
// Begin circuit learning analyses.
// --------------------------------
// Learning completed, CPU time=0.02 sec.
// --------------------------------------------------------------------
// Begin ICL extraction.
// ---------------------
// ICL extraction completed, ICL instances=10, CPU time=0.16 sec.
// --------------------------------------------------------------------
// --------------------------------------------------------------------
// Begin ICL elaboration and checking.
// -----------------------------------
// ICL elaboration completed, CPU time=0.09 sec.
// --------------------------------------------------------------------
// Writing ICL file : ./tsdb_outdir/dft_inserted_designs/
sv_mod1_RTL1.dft_inserted_design/sv_mod1.icl
// Writing consolidated PDL file: ./tsdb_outdir/dft_inserted_designs/
sv_mod1_RTL1.dft_inserted_design/sv_mod1.pdl
// Writing SDC file: ./tsdb_outdir/dft_inserted_designs/
sv_mod1_RTL1.dft_inserted_design/sv_mod1.sdc
// Writing DFT info dictionary: ./tsdb_outdir/dft_inserted_designs/
sv_mod1_RTL1.dft_inserted_design/
sv_mod1.dft_info_dictionary
The following example shows how the simulation wrapper is created during process_dft_specification:
// command: process_dft_specification
//
// Begin processing of /DftSpecification(sv_mod1,RTL2)
// --- IP generation phase ---
// Validation of IjtagNetwork
// Validation of OCC
// Validation of EDT
// Processing of IjtagNetwork
// Generating design files for IJTAG SIB module
sv_mod1_RTL2_tessent_sib_1
// Verilog RTL : ./tsdb_outdir/instruments/
sv_mod1_RTL2_ijtag.instrument/sv_mod1_RTL2_tessent_sib_1.v
// IJTAG ICL : ./tsdb_outdir/instruments/
sv_mod1_RTL2_ijtag.instrument/sv_mod1_RTL2_tessent_sib_1.icl
// Generating design files for IJTAG SIB module
Related Topics
get_current_design
read_design
report_current_design
write_design
set_current_design
Testbench Examples
SystemVerilog design definition with the top level named "top" and one SystemVerilog interface port
named "Bus":
interface MSBus;
event_bus_split_packet_t Addr;
logic [1:0] Data;
logic RWn;
logic Clk;
modport Secondary (input Addr, RWn, Clk, output Data);
endinterface
Each bit-blasted bit of the DUT is connected to its associated test pattern wire using the post-synthesis
pin name. The name of the test pattern bit is the same as that of the DUT pin bit, escaped with a
backslash (\). The DUT instance (DUT_inst) is the generated simulation wrapper, with the DUT itself
instantiated in it. This table cross-references the DUT pin names and the test pattern bit names:
DUT_inst/\Bus.RWn \Bus.RWn
DUT_inst/\Bus.Clk \Bus.Clk
Simulation wrapper:
MSBus tessent_intf_inst1();
top current_design(.Bus(tessent_intf_inst1),
.ijtag_tck(ijtag_tck),
Tip
This flow increments the basic Tessent Shell RTL and scan DFT insertion flow. To aid
comprehension, ensure that you have reviewed the test case for flat designs.
Refer to the following test case for a detailed usage example of the flow described in this section. This
test case illustrates hybrid IP insertion into a flat design with MemoryBIST in the first DFT insertion pass
and EDT, OCC, and LogicBIST in the second DFT insertion pass.
tessent_example_hybrid_tk_lbist_flow_<software_version>.lbist
You can access this test case by navigating to the following directory:
<software_release_tree>/share/UsageExamples/
Note:
The test case that illustrates the hybrid TK/LBIST flow does not include boundary scan insertion.
Refer to “First DFT Insertion Pass: Performing MemoryBIST and Boundary Scan” on page 193 for
information about inserting boundary scan in the first DFT insertion pass.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 13 on
page 371.
Procedure
1. Load the RTL design data and set the design parameters. (Refer to lines 1-11.)
Note:
rtl1 is the recommended naming convention for the design ID for the first insertion pass,
but you can specify any name. Refer to Loading the Design for more information about
setting the design ID. The default design ID for the current test case is rtl.
2. Add a DFT signal to use for controller chain mode. (Refer to lines 13-16.) You must register the
DFT signal name and then add the signal.
By default, Tessent Shell adds a pin at the current design level to control CCM. You can save
this pin by using a TDR register to control CCM; do this by specifying the add_dft_signal
-create_with_tdr switch.
Whether or not you are inserting MemoryBIST or boundary scan, you must add the CCM DFT
signal in the first DFT insertion pass because its generated hardware is used in the second pass
when you insert the hybrid IP.
5. Check the design rules and set the system mode to analysis. (Refer to lines 25-26.)
7. Generate the DFT hardware, IJTAG network connectivity, and test patterns. (Refer to lines 33-43.)
Examples
Example 1
The following dofile example shows a typical command flow as detailed in the preceding procedure. The
highlighted command lines are unique to the process for inserting hybrid IP in a two-pass DFT insertion
process.
Example 13. Dofile Example for First Pass, Hybrid TK/LBIST With MemoryBIST
Example 2
Typically, you insert MemoryBIST or boundary scan in the first DFT insertion pass before inserting
the hybrid IP (and OCC) in the second DFT insertion pass. The following sample dofile shows a first
DFT insertion pass without MemoryBIST or boundary scan, in which you are adding the DFT signal for
controller chain mode test.
Example 14. Dofile Example for First DFT Pass, Hybrid TK/LBIST Only
Note:
The line numbers used in this procedure refer to the command flow dofile in “Example 1: Dofile
Flow for the Second DFT Insertion Pass: Hybrid TK/LBIST” on page 375 unless otherwise
noted.
Procedure
1. Load the design and set the environment (refer to lines 1-6). Refer to “Loading the Design” on
page 199 for more information.
2. Define the DFT signals (refer to lines 8-28). Refer to “Specifying and Verifying the DFT
Requirements” on page 201 for more information.
Note:
Hybrid TK/LBIST has additional DFT requirements for the clock signals.
3. Create the LogicBIST test point and X-bounding signals with a TDR (refer to lines 23-24).
4. Add a core instance for the MemoryBIST mini-OCC for LogicBIST test. (refer to lines 30‑31.)
This is required when you have inserted MemoryBIST in the first DFT insertion pass.
5. Creating the DFT Specification. (Refer to lines 38-50.) Include a SIB for LogicBIST by specifying
lbist in the create_dft_specification command.
Customize the generated DFT specification as follows. Refer to “Example 2: DFT Specification
Example for Second DFT Insertion Pass with Hybrid TK/LBIST” on page 376.
a. For OCC, set the static clock control to external and the capture trigger to capture_en.
When static_clock_control is set to external, the OCC has an N-bit input (where N equals the
number of shift register bits), which is driven by the NcpIndexDecoder. This is what gives the
OCC programmability for LogicBIST.
When capture_trigger is set to capture_en, the OCC is capable of handling a free-running slow
clock. In LogicBIST applications, the slow clock is a free-running clock (whereas for ATPG this
comes from a top-level tester-controlled clock).
For details about OCC for the hybrid TK/LBIST flow, refer to "Tessent OCC TK/LBIST Flow" in
the Hybrid TK/LBIST Flow User’s Manual.
b. Specify a LogicBist wrapper that contains both a Controller wrapper and an NcpIndexDecoder
wrapper.
This wrapper causes Tessent Shell to automatically convert the EDT controller into a hybrid
controller for the hybrid TK/LBIST flow. In the Controller/Connections wrapper, you must
specify the controller_chain_enable property if you are using a TDR to control CCM. Specify
the full path to the pin or port name.
The NcpIndexDecoder wrapper specifies the named capture procedures (NCPs) for LogicBIST
test. For details, refer to "NCP Index Decoder" in the Hybrid TK/LBIST Flow User’s Manual.
c. In the EDT/Controller wrapper, define the LogicBistOptions if you are not using the default
values.
When you specify the LogicBIST wrapper, the tool adds a LogicBistOptions wrapper to the
EDT controller automatically populated with default values.
The misr_input_ratio property provides a way to specify the MISR size. The automatic (default)
ratio results in the lowest hardware with a small MISR.
You can control how many chains are masked by a single mask register bit using the
chain_mask_register_ratio property. By default, the ratio is 1:1; there are as many bits in the
chain mask register as there are number of scan chains.
Specify the low-power shift options specifically for hybrid IP usage separately from the low-
power shift options for the EDT controller.
6. Process the DFT specification to generate the test hardware, including LogicBIST (refer to line
52). Refer to “Generating the EDT, Hybrid TK/LBIST, and OCC Hardware” on page 207 for more
information.
7. Extract the ICL information (refer to line 54). Refer to “Extracting the ICL Module Description” on
page 208 for more information.
8. Generate the patterns and simulate the testbench (refer to lines 56-63). Refer to “Generating ICL
Patterns and Running Simulation” on page 208 for more information.
Examples
Example 1: Dofile Flow for the Second DFT Insertion Pass: Hybrid
TK/LBIST
The following dofile example shows a typical command flow. The highlighted command lines are unique
to the process for inserting Tessent Shell LogicBIST and hybrid IP instruments in a two-pass DFT
insertion process. In this example, the dofile reads in the DFT specification that defines the instruments to
be inserted.
Example 2: DFT Specification Example for Second DFT Insertion Pass with
Hybrid TK/LBIST
The following example illustrates a DFT specification customized to include the wrappers for the
LogicBIST controller and additional LogicBIST options for the EDT controller.
1 Occ {
2 ijtag_host_interface: Sib(occ);
3 capture_trigger: capture_en;
4 static_clock_control: both;
5 Controller(clk) {
6 clock_intercept_node: clk;
7 }
8 Controller(ramclk) {
9 clock_intercept_node: ramclk;
10 }
11 }
12
13 # Include the LogicBIST controller
14 # Example LogicBIST only; modify for your design requirements
15 LogicBist {
16 ijtag_host_interface : Sib(lbist);
17 Controller(c0) {
18 burn_in : on ;
19 pre_post_shift_dead_cycles : 8 ;
20 SingleChainForDiagnosis { Present : on ; }
21 ShiftCycles {max: 40; hardware_default : 1024;}
22 CaptureCycles {max: 4;}
23 # Hardware default is max
24 PatternCount {max: 10000; hardware_default : 1024;}
25 # Hardware default is 0
26 WarmupPatternCount { max : 512;}
27 ControllerChain {
28 present : on;
29 clock : tck;
30 }
31 Connections {
32 shift_clock_src: clk;
33 controller_chain_enable :
piccpu_rtl_tessent_tdr_sri_ctrl_inst/ \
34 controller_chain_mode;
35 }
36 }
37 NcpIndexDecoder{
38 Ncp(clk_occ_ncp) {
39 cycle(0): OCC(clk);
40 cycle(1): OCC(clk);
41 }
42 Ncp(ramclk_occ_ncp) {
43 cycle(0): OCC(ramclk);
44 cycle(1): OCC(ramclk);
45 }
46 # References to piccpu_rtl_tessent_sib_1 only applicable when
47 # inserting MemoryBIST
48 Ncp(ALL_occ_ncp) {
49 cycle(0): OCC(clk) , OCC(ramclk) , piccpu_rtl_tessent_sib_1;
50 }
51 Ncp(sti_occ_ncp) {
Related Topics
Sib
Prerequisites
• Prior to test point insertion, perform synthesis as described in section “Performing Synthesis” on
page 209."
Procedure
1. Specify the following command to set the DFT context for test point insertion:
For test point insertion, you must use a gate-level netlist. Ensure that you specify a design ID
with a unique name from the design ID for scan chain insertion that you perform after test point
insertion.
3. Specify the same output directory that you used in the first and second DFT passes:
set_tsdb_output_directory ../tsdb_outdir
read_verilog ../03.synthesis/piccpu.vg
5. Load the design data for the DFT hardware you previously inserted. For example:
6. Set the maximum number of test points you want to add. For example:
set_test_point_analysis_options -total_number 50
Typically, the maximum number of test points should be 1%-2% of the number of flops in the
design.
set_test_point_insertion_options -observe_point_share 5
The control_test_point_en and observe_test_point_en enable signals are required for test
point insertion, and they are automatically inferred from the control_test_point_en and
observe_test_point_en DFT signals you previously added.
8. Specify the type of test points you want to insert into the design:
Specify both LogicBIST test coverage and EDT pattern count test point types so that the tool
generates test points to reduce pattern count and improve random pattern testability.
9. Specify to insert test logic on the set and reset signals to make them controllable when you insert
scan chains:
10. Prohibit test point insertion for Tessent-inserted scan-resource instruments (SRIs)—EDT, OCC,
and LogicBIST:
You can only insert test points into scan-tested instruments (STIs).
analyze_test_points
Tessent Shell returns a log file that lists the test points that are inserted into the tool. You can write
out a test point dofile that lists the test point locations. You can use this dofile to edit the test points
you want to insert.
insert_test_logic
To generate a script to use with third-party test point insertion tools, use the following command to
target the insertion script for Design Compiler.
Examples
Example 15. Dofile Example for Test Point Insertion
# Following command inferred when X-bounding enable DFT signal was added
# -xbounding_enable [ get_dft_signal x_bounding_en ]
set_test_point_insertion_options -observe_point_share 5
set_test_point_types { lbist_test_coverage edt_pattern_count }
set_test_logic -set on -reset on
# no test points in Tessent-inserted IPs
add_notest_point [ get_instance *tessent* ]
set_system_mode analysis
analyze_test_points
insert_test_logic
report_test_logic
exit
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 16 on
page 382.
Procedure
1. Load the design data and set the design parameters. (Refer to lines 1-6.) Ensure that you specify a
unique design ID name from the name you used for test point insertion.
2. Set DRC handling to issue warnings for E9 and E11 DRC checks. (Refer to lines 8-10.)
set_drc_handling E09 W
set_drc_handling E11 W
These DRCs check for possible bus contention issues. By default, Tessent Shell ignores these
rules. Set these checks to issue warnings so that the X-bounding algorithm checks them and fixes
any X-source contention issues.
3. Specify the pins/ports to exclude from X-bounding. (Refer to lines 12-13.) For example:
Exclude the pins/ports that are guaranteed to have a known value during fault simulation and never
propagate an X value to the MISR. The tool does not insert X-bounding muxes at the specified
pins/ports, or at the logic that is driven by these pins.
4. Run X-source analysis with the analyze_xbounding command to identify memory elements that
might capture an unknown during LogicBIST. (Refer to lines 17-19.)
6. Connect the scan chains to the EDT block, analyze the scan chains, and insert the scan chains.
(Refer to lines 36‑50.)
Examples
The following dofile example shows a typical command flow. The highlighted command lines are unique
to the process for inserting Tessent Shell LogicBIST and hybrid IP instruments in a two-pass DFT
insertion process.
Example 16. Dofile Example for Scan Chain Insertion: Hybrid TK/LBIST
Related Topics
Performing Scan Chain Insertion: Wrapped Core
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 17 on
page 384.
Prerequisites
• Perform EDT pattern generation as described in “Performing ATPG Pattern Generation” on
page 212.
Procedure
1. Load the design and set the environment (refer to lines 1-12). Refer to “Loading the Design” on
page 199 for more information.
set_static_dft_signal_values controller_chain_mode 1
You had added a DFT signal for ccm_en during IP creation, which is now being configured. If this is
a port (default), then this command is not required.
4. Specify tck or edt_clock for CCM, depending on which you are using in your implementation (refer
to lines 24-25).
6. For retargeting, specify to pulse the CCM clock during shift. (refer to line 39.) The following
command is only required when you use edt_clock for CCM and edt_clock is a top-level port, or
when you use tck for CCM.
The tool automatically generates a test procedure file that configures the scan_en and
shift_capture_clock DFT signals. If the edt_clock is derived from test_clock, do not specify the
set_procedure_retargeting_options command.
7. Specify the set_current_mode command with a unique name to indicate that you are generating
CCM patterns (refer to line 41). For example:
set_current_mode ccm_stuck
Examples
The following example generates CCM ATPG patterns. The highlighted statements illustrate additional
considerations for CCM.
Example 17. Dofile Example for ATPG With Controller Chain Mode: Hybrid TK/LBIST
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 18 on
page 387.
Procedure
1. Load the design and set the environment (refer to lines 1-12). Refer to “Loading the Design” on
page 199 for more information.
2. Set the current test mode to a unique name for the new pattern set you are creating to test the
hybrid IP. (Refer to line 7.)
4. Add the hybrid TK/LBIST core instances. (Refer to lines 11-12.) For example:
For the hybrid TK/LBIST flow, you must explicitly add the LogicBIST controller core.
5. Specify the capture procedure names with the set_lbist_controller_options command. (Refer to
lines 16-18.)
Include the names of all Named Capture Procedures (NCPs) that are defined in the
DftSpecification, along with their active percentages, regardless of whether you intend to use them
in LogicBIST simulation.
The tool defines the NCPs in the Tessent Core Description (TCD) file while processing the
DftSpecification. You can override the automatically generated NCPs with the read_procfile
command.
6. Define the DFT signals (refer to lines 23-37). In addition to enabling the ltest_en and int_ltest_en
logic test control signals, you must specify the following signals for the hybrid TK/LBIST flow:
set_static_dft_signal_values control_test_point_en 1
set_static_dft_signal_values observe_test_point_en 1
set_static_dft_signal_values xbounding_en 1
set_static_dft_signal_values tck_occ_en 1
set_static_dft_signal_values controller_chain_mode 0
7. Set the PLL external capture clocks if your design has a PLL that is a driving clock, but the PLL
itself is driven by external clocks. (Refer to lines 43-46.)
This indicates the number of cycles the NCPs take with respect to the LogicBIST controller clock,
as specified with the -fixed_cycles switch.
8. Specify the maximum number of pseudo-random patterns you want the tool to simulate. (Refer to
line 48.)
9. Set the pattern source to LogicBIST and run fault simulation. (Refer to line 51.)
10. Write out the parallel testbench and save all the data into the TSDB. (Refer to lines 53-57.)
Examples
The following dofile example shows a typical command flow as detailed in the preceding procedure. The
highlighted text illustrates additional considerations for the hybrid TK/LBIST flow.
Note:
Do not confuse IJTAG retargeting with ATPG (or scan) pattern retargeting as described in
“Hierarchical DFT Terminology” on page 217.
Procedure
1. Load the design and set the environment (refer to lines 1-12). Refer to “Loading the Design” on
page 199 for more information.
2. Set the context to patterns -ijtag and set the design ID to the name of the scan-inserted, gate-level
netlist generated during scan chain insertion.
When you specify the -ijtag switch, Tessent Shell automatically accesses the ICL module
description for the current design, which enables IJTAG retargeting mode.
4. Generate and validate the IJTAG patterns for the design (refer to lines 14-16).
5. Run and check the testbench simulations (refer to lines 18-23). The following step is important for
the hybrid TK/LBIST flow:
a. Specify the simulator option to keep all the logic gates for simulation.
®
The following example applies to Questa SIM:
run_testbench_simulations -simulator_options \
{ -voptargs="+acc" }
For correct pattern simulation, use the applicable simulator option to ensure that the necessary
design logic is not optimized away during elaboration.
Tessent Shell simulates the logic test operations only, which means the testbench does not
connect all the pins in the design. The tool issues warnings for the unconnected pins. To
filter these warnings out, you can use the run_testbench_simulations -simulation_option
+nowarnTSCALE option.
Examples
The following dofile shows a command flow to generate IJTAG patterns for LogicBIST. Highlighted text
illustrates additional considerations for the hybrid TK/LBIST flow.
Overview of Multiple Load ATPG on Memories for Wrapped Cores With Built-in Self Repair
Performing Multiple Load ATPG Pattern Generation
Performing Multiple Load Top-Level ATPG Pattern Retargeting
You should be familiar with the two-pass pre-scan DFT insertion flow as described in “RTL and Scan
DFT Insertion Flow for Physical Blocks” on page 221, especially as related to performing ATPG pattern
generation. Figure 86 shows this flow.
The init_bisr_chains iProc contains Tcl code you can use to initialize the BISR registers at any level (core
or chip-level) as follows:
• At the wrapped core level, the iProc performs an asynchronous clear of the BISR registers.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 19 on
page 393.
Prerequisites
• You have performed the “RTL and Scan DFT Insertion Flow for Physical Blocks” on page 221 up
to the ATPG pattern generation step.
Procedure
1. Load the Tessent Cell Library for the memory. (Refer to lines 1-9.)
3. Set the DFT Signal memory_bypass to 0, so memory is not bypassed. (Refer to lines 24-26.)
4. Load the PDL for the Memory BISR chains, which has an iProc (init_bisr_chains) that is called with
set_test_setup_icall -non_retargetable. (Refer to lines 28-35.)
The init_bisr_chains iProc contains Tcl code you can use to initialize the BISR registers at any level
(core or chip-level) as follows:
• At the wrapped core level, the iProc performs an asynchronous clear of the BISR registers.
• At the chip level, the iProc initiates a BISR controller power-up emulation.
6. Write the design data and patterns to the TSDB. (Refer to lines 42-44.)
7. Write out the Verilog testbenches for simulation. (Refer to lines 47-50.)
Results
At the wrapped core level, if you inject a fault in the repairable memory, and then run the multiple load
ATPG Pattern, the run should fail. This check shows that if a repairable memory has a defect that is not
repaired, then when you retarget the multiple load ATPG patterns from the top level, the multiple load
ATPG pattern run fails.
You must run the memoryBIST inside the wrapped core to determine if the memory is repairable. If it is,
then the repairable information from Built-In Redundancy Analysis (BIRA) to BISR must be stored and the
repair performed by storing the repairable contents using the fusebox repair solution that you use at the
top-level.
Examples
The following example for the repairable memory "MGC_SYNC_1RW_1024x8_C" generates ATPG
patterns that is run by the memory.
Example 19. Multiple Load ATPG Pattern Generation for Memories With BISR
For retargeting multiple load ATPG patterns where the memories are not bypassed from a lower level
wrapped core that has repairable memories, specify the correct retargeting mode signal. Subsequently,
use the add_core_instances command to load the specific core with the correct ATPG mode that you
want to retarget.
Finally, you need to source the PDL of the BISR chain with the iProc init_bisr_chains. This PDL was
created when the BISR controller RTL was generated at the chip-level. The iProc detects the presence of
the BISR controller and initiates a PowerUpEmulation. When the iProc is called and no BISR controller is
found, the iProc performs an asynchronous clear of the BISR chains using the primary inputs (bisr_reset
port).
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 20 on
page 396.
Prerequisites
• You have performed the “RTL and Scan DFT Insertion Flow for the Top Chip” on page 240 up to
the ATPG retargeting step.
Procedure
1. Set the context to pattern retargeting. (Refer to lines 1-2.)
2. Specify the TSDB directory and open the TSDB. (Refer to lines 4-8.)
5. Set the retargeting from the lower-level patterns. (Refer to lines 20-24.)
6. Read the PDL of the memoryBISR chains that has the iProc "init_bisr_chains". This PDL is
different than the one created at the wrapped core level. (Refer to lines 30-34.)
Examples
The following example retargets the ATPG pattern from the lower level to the chip-level.
1 # Set the context to retarget ATPG Patterns from lower level child
cores
2 set_context pattern -scan_retargeting
3
4 # Point to the TSDB directory
5 set_tsdb_output_directory ../tsdb_outdir
6
7 # Open all the TSDB of the child cores
8 open_tsdb ../../wrapped_cores/processor/tsdb_outdir
9
10 # Read the tessent cell library
11 read_cell_library ../../library/standard_cells/tessent/adk.tcelllib
12
13 # Read the verilog
14 read_design chip_top -design_id gate
15 read_design processor -design_id gate -view graybox -verbose
16
17 set_current_design chip_top
18
19
20 # Retarget Transition patterns from processor
21 set_current_mode retarget1_processor_multiple_load
22 set_static_dft_signal_values retargeting1_mode 1
23 add_core_instances -instances {processor_inst1 processor_inst2} \
24 -core processor -mode edt_int_multiple_load_atpg
25
26 report_core_descriptions
27 import_clocks -verbose
28 report_clocks
29
30 # Read the PDL of the MBISR chains that has the iProc
"init_bisr_chains"
31 # that needs to be called before running the ATPG pattern.
32 source ../tsdb_outdir/instruments/chip_top_rtl1_mbisr.instrument/\
33 chip_top_rtl1_tessent_mbisr.pdl
34 set_test_setup_icall init_bisr_chains -front
35
36 set_system_mode analysis
37 report_clocks
38
39 # write the TCD file for chip-level in the TSDB outdir
40 write_tsdb_data -replace
• Reading a CPF or UPF file corresponding to the design using the read_cpf or read_upf
command. This is the recommended method.
BisrSegmentOrderSpecification {
PowerDomainGroup(pd_AA) {
OrderedElements {
mem3;
mem4;
}
}
PowerDomainGroup(pd_AB) {
OrderedElements {
mem5;
mem6;
}
}
For additional implementation details, refer to "Inserting BISR Chains in a Block" in the Tessent
MemoryBIST User's Manual.
Note:
This is not a typical use model and is rarely implemented.
Related Topics
Inserting BISR Chains in a Block
BisrSegmentOrderSpecification {
PowerDomainGroup(pd_AA) {
OrderedElements {
blockA_clka_i1/pd_AA_bisr_si;
blockA_clkb_i2/pd_AA_bisr_si;
}
}
PowerDomainGroup(pd_AB) {
OrderedElements {
blockA_clka_i1/pd_AB_bisr_si;
blockA_clkb_i2/pd_AB_bisr_si;
}
}
}
As with the block-level BISR insertion, if you change the generated BISR chain order
(<design_name>.bisr_segment_order), you can manually modify this file and change the order of memory
instance names before running the process_dft_specification command.
• Compress the repair information and write the result into the fuse box
• Decompress the fuse box contents and shift the contents into the chip BISR chain
Note:
A BISR controller with an internal fuse box is supported. For details, refer to "Implementing and
Verifying Memory Repair" in the Tessent MemoryBIST User's Manual.
The design instance for the external fuse box must already be instantiated in the design. Typically, the
fuse box is instantiated within a module that also contains interface logic. All input ports of the module
should be tied off, and the output ports should be left open. When running the process_dft_specification
command, the tool disconnects all input ports and then reconnects the ports to the BISR controller
module.
When using an external fuse box, you must set the fuse_box_location property in the
MemoryBisr/Controller wrapper to external. The fuse_box_interface_module property located in the
same wrapper can be used to specify the library module for the external fuse box. If this is not specified,
the library module is inferred from the design instance specified in the design_instance property
of ExternalFuseBoxOptions wrapper. If neither of these properties are specified and only a single
tcd_fusebox file exists in the design, the fuse box module is inferred from this tcd_fusebox description.
The core description for the external fuse box can automatically be read in during module matching using
the set_design_sources -format tcd_fusebox command or directly using the read_core_descriptions
command.
If the instantiated module has a core description with a FuseBoxInterface wrapper, then connections
between the fuse box controller and the fuse box interface are completed automatically. If a core
description is not available, or not complete, explicit connections can be made in the MemoryBisr/
Controller/ExternalFuseBoxOptions/ConnectionOverrides wrapper.
Related Topics
Fuse Box Interface
• The BISR controller input clk must be driven by an appropriate functional clock. The
connection is made by specifying the repair_clock_connection property in the DftSpecification/
MemoryBisr/Controller wrapper.
• The BISR controller input resetN is the signal used to reset the BISR chains and initiate memory
repair. The connection is made by specifying the repair_trigger_connection property in the
DftSpecification/MemoryBisr/ wrapper.
Related Topics
PatternsSpecification
create_patterns_specification
Tip
This is an advanced-level workflow, which assumes knowledge about the Tessent Shell two-pass
DFT insertion process. Familiarize yourself with the basic hybrid TK/LBIST flow for flat models as
described in “Hybrid TK/LBIST Flow for Flat Designs” on page 369. In addition, because this is
a hierarchical design, the workflow described in this chapter follows the bottom-up DFT insertion
process as described in “Tessent Shell Flow for Hierarchical Designs” on page 217.
Refer to the following test case for a detailed usage example of the flow described in this section:
tessent_automotive_reference_flow_<software_version>.tgz
You can access this test case by navigating to the following directory:
<software_release_tree>/share/UsageExamples/
• Unified environment.
◦ All information stored in one place, the Tessent Shell Database (TSDB).
◦ The IST controller can access and operate any instrument in the IJTAG network.
◦ Any LogicBIST or MemoryBIST (IJTAG-compliant IP) test can be converted to in‑system test.
◦ Run the same or different tests multiple times during in-system operation.
• Verification setup.
◦ ROM data contents are also generated for the IST controller.
• Area optimization
◦ The hybrid TK/LBIST IP shares the compression logic for scan and LogicBIST.
◦ Pattern re-targeting to generate and validate patterns at any level of the design hierarchy.
◦ Advanced BIST access port (BAP) to access MemoryBIST and consider limited test time of
in-system test.
Note:
The test case and this chapter assume that you understand the Tessent concepts and terms for
hierarchical DFT as described in “Hierarchical DFT Overview” on page 126.
Your objective is to integrate the DFT IP and provide a mechanism to test your logic and memories during
in-system operation. Considering your in-system test time constraints and coverage requirements, you
must:
• Analyze and decide on the algorithms you want to run on the memories in-system for power-on
reset (PoR) and periodic test.
• Analyze and decide the number of pseudo-random patterns during PoR and periodic test. (This
depends on the scan chain length and shift clock frequency.)
• Use the advanced BAP capability to provide a low-latency protocol for configuring the
MemoryBIST controller, running Go/NoGo tests, and monitoring the pass/fail status for in-system
and manufacturing test.
• Insert the in-system test (IST) IP to access IJTAG instruments in system, and, depending on the
length of your IJTAG network, insert the IST controller within individual cores as well as at the top
level. As a reference, the tool runs both CPU-based and DMA-based in-system test options.
• Test the inserted test logic. That is, the LogicBIST and EDT IP blocks in addition to testing the
core design logic. Do this by using controller chain mode, which enables you to generate ATPG
patterns that target the hybrid EDT/LBIST blocks and LogicBIST controller in addition to the
single chain mode logic and in-system test controller. While MemoryBIST IPs can be scan tested,
under EDT test mode you can test them in system through LogicBIST.
Design Overview
The test case uses an UltraSPARC (open-source) design with a processor core and two GPS basebands.
The processor core design includes non-repairable and repairable memory, and the GPS baseband is a
logic-only core that is instantiated twice.
Following the bottom-up flow, you insert the following DFT logic at the core level.
After you have inserted the core-level IP, you perform top-level DFT logic insertion and integration,
followed by ATPG and pattern retargeting.
To test your IJTAG instrument in system and achieve high test coverage for your automotive IC, you insert
an IST controller that intercepts the TAP controller and run MemoryBIST tests. This test case inserts a
direct memory access (DMA) IST controller at the top level and a CPU-based IST controller within the
GPS baseband cores. Depending on your IJTAG network length, you might or might not need an IST
controller at the core. A single DMA IST controller could be sufficient to run MemoryBIST and other IJTAG
tests.
For details about the TSDB data flow, refer to “TSDB Data Flow for the Tessent Shell Flow” on
page 473.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 21 on
page 414.
For in-system tests, you can apply MemoryBIST during the power-on/off phase of the device operation or
periodically while the device is operating. For details, refer to the Tessent In-System Test User’s Manual.
Procedure
1. Load the RTL design data and set the design parameters. (Refer to lines 1-15.)
Note:
rtl1 is the recommended naming convention for the design ID for the first insertion pass,
but you can specify any name. Refer to Loading the Design for more information about
setting the design ID.
6. Edit the DFT specification to add the advanced BAP. (Refer to lines 30-76.)
You can configure the connections to control the BAP directly through your system logic, thus
bypassing the IJTAG network. Or, you can provide a way to control the advanced BAP as part of
the IJTAG network. For this test case, implement the first strategy. When utilizing the advanced
BAP feature, specify the options you plan to control directly using the ExecutionSelections wrapper
in the DFT specification, and then specify the BAP connections to the system logic. You can
specify the connections within the DftSpecification wrapper or through a post-insertion procedure.
7. Generate the DFT hardware, IJTAG network connectivity, and test patterns. (Refer to lines 79-86.)
Examples
The following dofile example shows a typical automotive command flow for a core-level first DFT
insertion. Modify the DFT specification for your design requirements.
Example 21. Dofile Example for First DFT Insertion Pass, processor_core
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 22 on
page 417 unless otherwise noted.
Procedure
1. Loading the Design.
2. Follow the steps described in “Specifying and Verifying the DFT Requirements: DFT Signals for
Wrapped Cores” on page 227, ensuring that you also include the required DFT signals for the
hybrid TK/LBIST flow and for controller chain mode. (Refer to lines 3-31.)
3. Creating the DFT Specification with SIBs for EDT, OCC, and LogicBIST, and edit the default
specification to include the OCC, hybrid IP, and LogicBIST. (Refer to lines 33-125.)
Use the read_config_data command to edit the DFT specification as follows:
• Specify the OCC wrapper and specify your clock intercept node for the OCC.
For details about OCC for the hybrid TK/LBIST flow, refer to "Tessent OCC TK/LBIST Flow" in
the Hybrid TK/LBIST Flow User’s Manual.
• Specify the EDT wrapper to include a LogicBistOptions wrapper, and specify a MISR ratio of
one. This reduces the chances of aliasing at the MISR (for better debugging capability) while
trading off the area.
If you want to use the edt_clock as a shift clock source for LogicBIST, specify a dash (-) value in
the interface wrapper, which caused the tool to not create a shift_clock_src port.
• Specify a LogicBist wrapper that contains both a Controller wrapper and an NcpIndexDecoder
wrapper. Tessent Shell automatically converts the EDT controller into a hybrid TK/LBIST
controller.
You must specify the clocking combinations to be used during TK/LBIST test. The tool
synthesizes the NCP index decoder and generates named capture procedures based on this
description. For details, refer to "NCP Index Decoder" in the Hybrid TK/LBIST Flow User’s
Manual.
Note:
When you have only one NCP, the tool does not generate an NCP index decoder. You
can use this configuration to implement a static clock sequence loaded through the ICL
network. (You notice this for the GPS baseband block.)
4. Generating the EDT, Hybrid TK/LBIST, and OCC Hardware, plus the LogicBIST hardware. (Refer
to line 125.)
Results
The following figure shows the clocking and DFT controls after the second DFT insertion pass.
Figure 94. Enhanced Clocking and DFT Controls After Second DFT Insertion Pass
Examples
The following dofile example shows a typical automotive command flow for a core-level second DFT
insertion. Modify the DFT specifications for you design requirements.
Example 22. Dofile Example for Second DFT Insertion Pass, processor_core
• Test points — Inserting test points increases the testability of a design by improving controllability
and observability during scan testing. This step is part of the hybrid TK/LBIST workflow; refer to
“Perform Test Point Insertion” on page 379 for details.
In addition, a new type of observe point — the observation scan observe point (OP) — is inserted
during test point insertion. The tool monitors observation scan OPs during every shift cycle
and capture cycle compared with traditional OPs, which are monitored only during capture.
Observation scan observe points are a part of observation scan technology (OST). For details,
refer to "Observation Scan Technology" in the Hybrid TK/LBIST Flow User’s Manual.
Note:
For OST, you must add the capture_per_cycle_static_en DFT signal during the DFT
insertion pass.
• X-bounding — X-bounding ensures that only valid binary values propagate through the scan
cells during test. This prevents X sources from reaching the memory elements and corrupting the
signature during LogicBIST. For details, refer to "X-Bounding" in the Hybrid TK/LBIST Flow User’s
Manual.
• Wrapper analysis — In hierarchical DFT, wrapper analysis prepares the functional scannable
sequential elements (or flops) for reuse as wrapper cells. Use the analyze_wrapper_cells
command.
• Scan chains — The tool inserts wrapper scan chains around the physical blocks that connects to
each input and output. The input and output wrapper chains are exercised using the internal and
external scan mode DFT control signals. For more information, refer to “Performing Scan Chain
Insertion: Wrapped Core” on page 229.
In addition, you must configure the controller scan chains and add a scan mode for controller
chain mode (CCM).
Note:
Tessent Shell works with any third-party scan insertion or other tools. However, because all
Tessent products reside on the same code and database, you lose some automation with third-
party tools.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 23 on
page 422 unless otherwise noted.
Procedure
1. After loading the design and setting the design parameters, perform test point, X-bounding,
wrapper, and scan insertion in the merged DFT context. (Refer to lines 7.)
2. Read the design files and specify the requirements for test points and OST observe points. (Refer
to line 9-51.)
3. Post-test point insertion, specify the requirements for X-bounding. (Refer to lines 60-70.)
4. Specify wrapper cell requirements and perform wrapper cell analysis. (Refer to lines 72-77.)
For details, refer to "Scan Insertion for Wrapped Core" in the Tessent Scan and ATPG User’s
Manual.
6. Specify the scan modes: internal, external, and controller chain. (Refer to lines 84-94.)
For internal and external modes, connect the scan chains to the EDT block. For CCM mode,
ensure that you only include CCM scan segments as valid scan elements.
7. Analyze scan chains and insert X-bounding, wrapper, and scan chain logic. (Refer to lines 96-103.)
Examples
The following dofile shows a command flow for test point and scan insertion.
Example 23. Dofile Example for Test Point and Scan Insertion, processor_core
For information about graybox models, refer to “Graybox Model” on page 130.
Procedure
Perform ATPG as described in “Performing ATPG Pattern Generation: Wrapped Core” on page 233 with
the following extra considerations:
a. After running ATPG on the internal mode and saving the collateral data to the TSDB with the
write_tsdb_data command (step 3-d), do the following:
ii. Read the PDL of the BISR chains that have the init_bisr_chains iProc. The procedure is located
at:
/tsdb_outdir/instruments/processor_core_rtl1_mbisr.instrument/proces
sor_core_rtl1_tessent_mbisr.pdl
iii. Run ATPG on the internal mode again and save the data with the write_tsdb_data command.
ATPG generates a multiple load pattern that can scan through the memory. You can use
multiple load scan patterns to generate scan patterns through ROM and RAM memories.
Before generating multiple load patterns on repairable memories, any repair information that
was previously generated by the MemoryBIST run must be applied to the memory repair ports.
For details, refer to “Running Multiple Load ATPG on Wrapped Core Memories With Built-In
Self Repair” on page 391.
iv. Use the read_faults command to merge the fault list from running external mode to find the total
overall fault coverage of the wrapped core.
b. Run ATPG on the controller chain mode to create APTG patterns for the following test logic IPs:
hybrid controller, LogicBIST controller, single chain mode logic, and in-system test controller. Do
the following:
i. Load the wrapped cores that contain the child wrapped cores.
ii. If you used Tessent Scan for scan insertion, specify "import_scan_mode
controller_chain_mode" to import the controller chain mode.
iii. Specify a unique ATPG mode name for controller chain mode, such as ccm. For example:
set_current_mode ccm
iv. After running DRC, generate the ATPG patterns, and store the TCD, flat model, fault list and
PatDB files in the TSDB using the write_tsdb_data command.
The generated ATPG patterns are core-level patterns. You generate these patterns again at the
top level.
v. Use the read_faults command to merge the fault list from running internal mode to find the total
overall fault coverage of the wrapped core.
Note:
The line numbers used in this procedure refer to the command flow in Example 24 on page 427.
Refer to “Performing LogicBIST Fault Simulation” on page 386 for additional details about some
of the following steps.
Procedure
1. Loading the Design. (Refer to lines 1-10.)
2. Set the current test mode to a unique name for the new pattern set you create to test the hybrid IP.
(Refer to line 12.)
3. Import the core’s internal mode data—that is, the scan-inserted design data for the EDT and OCC
logic. (Refer to line 14.)
Using the import_scan_mode command assumes that you used Tessent Scan to perform scan
chain stitching.
5. Specify the capture procedure names and the count percentage to repeat the NCP once every 256
patterns. (Refer to lines 18-19.)
8. Add the faults and specify the maximum number of pseudo-random patterns you want the tool to
simulate. (Refer to lines 34-35.)
9. Set the pattern source to LogicBIST and run fault simulation. (Refer to line 36.)
10. Write out the parallel testbench and save the data into the TSDB. (Refer to lines 38-40.)
Examples
The following dofile example shows a typical command flow for LogicBIST fault simulation.
Note:
The line numbers used in this procedure refer to the command flow in Example 25 on page 428.
Refer to “Perform LogicBIST Pattern Generation” on page 388 for additional details about some of
the steps in the following.
Procedure
1. Loading the Design, ensuring that you set the context to patterns -ijtag. (Refer to lines 1-7.)
The design ID should be the same design you used for LogicBIST fault simulation.
3. Edit the patterns specification to specify the LogicBIST pattern configuration. (Refer to lines 18-52.)
If you want to debug Xs in your MISR during simulation, you can enable debugging with the
logic_bist_debug property.
4. Generate the IJTAG patterns for LogicBIST and run simulation. (Refer to lines 55-63.)
Examples
The following dofile shows a command flow for generating IJTAG patterns for LogicBIST in the automotive
Tessent Shell flow.
Note:
The line numbers used in this procedure refer to the command flow in “Example 26” on
page 431. Refer to the following directory, which has a usage example described in this section:
tessent_automotive_reference_flow_<software_version>/wrapped_cores/processor_core/
11.extract_fault_sites
Procedure
1. Load the post-layout design and generate a flat model. (Refer to lines 1-19.)
3. Read in the layout data and generate an LDB. (Refer to lines 25-34.)
4. Load the LDB by applying the open_layout command, and then apply the extract_fault_sites
command with an output file name to generate a UDFM. (Refer to lines 36-40.)
Note:
Use "all" as the defect_types option for the extract_fault_sites command to write out both
bridges and opens to the UDFM file.
Examples
Figure 96. Interconnect Bridge/Open UDFM Generation Flow for processor_core
The following dofile shows a command flow for generating a bridge/open UDFM for use in the
Automotive-Grade ATPG flow.
Example 26. Dofile Example for Interconnect Bridge/Open UDFM Generation, processor_core
Note:
The line numbers used in this procedure refer to the command flow in “Example 27” on
page 434. Refer to the following directory, which has a usage example described in this section:
tessent_automotive_reference_flow_<software_version>/wrapped_cores/processor_core/
11.extract_fault_sites
Procedure
1. Extract cell-neighborhood pairs.
a. Load the flat model generated for interconnect bridge/open UDFM generation. (Refer to lines
1-5.)
b. Load the LDB using the open_layout command, which is generated for interconnect bridge/
open UDFM generation. (Refer to line 7.)
c. Run the extract_inter_cell_data command, specifying an output file name to write the extracted
cell-neighborhood pairs. (Refer to line 9.)
2. Generate the UDFM using Tessent CellModelGen with the extracted cell-neighborhood pairs.
a. Before running Tessent CellModelGen, ensure that all the required input data is available and
all the required tools are accessible.
c. Copy the run_flow.merge script into your working directory from the lib/scripts directory, which
you get from step 1.b.
d. Modify the run_flow.merge script for your design. Refer to the comments in the script
for details. The output file from step 1, the extracted inter-cell pairs, is the input to the
run_flow.merge script.
e. Run the run_flow.merge script to run Tessent CellModelGen with the cell-neighborhood pairs.
Specify the filename of the extracted cell-neighborhood pairs and a working directory name.
f. Copy the run_export.merge script into your working directory from the lib/scripts directory,
which you get from step 1.b.
h. Run the run_export.merge script to combine all single UDFM files on each of the cell pairs into
a single UDFM file.
Examples
Figure 97. Cell-Neighborhood UDFM Generation Flow for processor_core
The following dofile shows a command flow for generating a bridge/open UDFM for use in the
Automotive-Grade ATPG flow.
Note:
The line numbers used in this procedure refer to the command flow in “Example 28” on
page 438. Refer to the following directory, which has a usage example described in this section:
tessent_automotive_reference_flow_<software_version>/wrapped_cores/processor_core/
12.generate_AGA_patterns
Prerequisites
• Existing patterns from previous ATPG runs.
Procedure
1. Load the flat model generated from a post-layout design. (Refer to lines 1–11.)
2. Set a fault type, read in the UDFM file for your standard cells, and add faults. (Refer to lines
14-18.)
4. Simulate the patterns to determine the baseline test coverage. (Refer to line 21.)
6. Check how much benefit there is with the newly-created patterns (refer to line 31). Set a baseline
to understand the benefit after step 4. (Refer to line 24.)
Examples
Figure 98. ATPG Topoff Run Flow With a UDFM for processor_core
The following dofile shows a command flow for generating Automotive-Grade ATPG flow topoff patterns.
Note:
The line numbers used in this procedure refer to the command flow in “Example 29” on
page 440. Refer to the following directory, which has a usage example described in this section:
tessent_automotive_reference_flow_<software_version>/wrapped_cores/processor_core/
12.generate_AGA_patterns
Prerequisites
• Existing UDFM files for your technology library and design.
Procedure
1. Load the flat model generated from a post-layout design. (Refer to lines 1–11.)
2. Set a fault type and read in the UDFM files for your standard cells, interconnect bridge/open, and
cell-neighborhood defects. Then add faults. (Refer to lines 14-20.)
Examples
Figure 99. ATPG Run Flow With All UDFM for processor_core
The following dofile shows a command flow for generating Automotive-Grade ATPG flow patterns using
only UDFMs.
This section highlights aspects of the flow for gps_baseband that differ from processor_core: DFT
insertion and LogicBIST fault simulation. Refer to the test case for dofile details.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 30 on
page 444 unless otherwise noted.
Procedure
1. Loading the Design. (Refer to lines 1-8.)
2. Follow the steps described in “Specifying and Verifying the DFT Requirements: DFT Signals for
Wrapped Cores” on page 227, ensuring that you also include the required DFT signals for the
hybrid TK/LBIST flow and for controller chain mode. (Refer to lines 10-35.)
Constrain the enable signal for the in-system test controller to 0 for manufacturing test. The tool
emulates the control of this port during in-system test. (Refer to lines 25-26.)
For the DFT signals, create a new port if a source node does not exist. The ports are created only
if set_design_level is not chip.
3. Creating the DFT Specification with SIBs for EDT, OCC, and LogicBIST, and edit the default
specification to insert the OCC, hybrid IP, and in-system test controllers. (Refer to lines 37-138.)
As you did with the processor core, use the read_config_data command to edit the DFT
specification.
• Specify the OCC wrapper and specify your clock intercept node for the OCC.
For details about OCC for the hybrid TK/LBIST flow, refer to "Tessent OCC TK/LBIST Flow" in
the Hybrid TK/LBIST Flow User’s Manual.
• Specify the EDT wrapper to include a LogicBistOptions wrapper, and specify a MISR ratio of
one.
The edt_clock and edt_update signals are automatically connected to EDT instances, and the
EDT controller is built with bypass.
• Specify a LogicBist wrapper that contains both a Controller wrapper and an NcpIndexDecoder
wrapper.
Because this core has a single clock, you only need one NCP; the tool does not generate the
NCP index decoder.
• Specify an InSystemTest wrapper, ensuring that you specify that you are using the CPU
interface.
Create a TCD segment for this instrument, and specify the in-system test controller connections
using the Connections wrapper.
4. Generating the EDT, Hybrid TK/LBIST, and OCC Hardware, plus the LogicBIST and in-system test
hardware. (Refer to line 139.)
Examples
The following dofile example shows a typical automotive command flow for a core-level first DFT
insertion. Modify the DFT specifications for you design requirements.
Example 31. Dofile Example for LogicBIST Fault Simulation With One NCP
set_system_mode analysis
First DFT Insertion Pass: Top With MemoryBIST, BISR, and Boundary Scan
Second DFT Insertion Pass: Top With EDT, OCC, and In-System Test
Scan Insertion for the Top Design
ATPG Pattern Generation for the Top Design
ATPG Pattern Retargeting for the Top Design
Interconnect Bridge/Open UDFM Generation for the Top Design
Cell-Neighborhood UDFM Generation for the Top Design
Automotive-Grade ATPG Pattern Generation for the Top Design
UDFM Generation for Cell-Aware ATPG
TCA Based Pattern Sorting
Note:
You must perform the first DFT insertion pass, even if you do not use MemoryBIST or boundary
scan to insert IJTAG to configure your child blocks. You cannot run logic test DRC in this first
pass. Logic test DRC requires that the child blocks are connected to the network in order to be
configured. Because of this, you can only run logic test DRC on the second DFT insertion pass,
after the network is configured.
Note:
For general instructions about inserting MemoryBIST and boundary scan at the top level, refer to
“First DFT Insertion Pass: Performing Top-Level MemoryBIST and Boundary Scan” on page 243.
This test case adds in the BISR and DMA memory block to the baseline use case.
Note:
Because you cannot use the in-system test patterns from the DMA memory block to test that
memory block, do not create in-system test patterns for testing the DMA memory.
If you plan to insert a LogicBIST controller at the same level as the DMA IST controller to generate in-
system test logic test patterns (not illustrated by this test case), ensure that the DMA IST controller and its
memory block have the same async clock source. In addition:
• Isolate the DMA memory block, its MemoryBIST logic, and the IST controller by pushing them
into their own module.
• If isolation is not possible because of design considerations, exclude the observation logic
inside the memory interface by disabling the observation_xor_size property. (The MemoryBIST
controller and MemoryBIST interface are scannable logic.) This prevents a MISR signature
difference between manufacturing and in-system LogicBIST tests. For example:
set_config_value
DftSpecification(processor_core,rtl1)/MemoryBist/Controller(c2)/St
ep/MemoryInterface(m1)/observation_xor_size off
In addition, disable the memory library DisableDuringScan property, which removes both the
gating logic at the memory inputs and the memory bypass mux that disables the memory control
during scan and LogicBIST test. As needed, re-enable the memory bypass mux by setting the
scan_bypass_logic property to sync_mux, as follows:
set_config_value
DftSpecification(processor_core,rtl1)/MemoryBist/Controller(c2)/St
ep/MemoryInterface(m1)/scan_bypass_logic sync_mux
The memory bypass mux is supported in this scenario as long as you are directly connecting the
memory data output to the IST controller data input.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 32 on
page 452 unless otherwise noted.
Prerequisites
• To insert boundary scan, you must have an RTL design with instantiated I/O pads if you are using
a chip-level design.
• For RTL netlists, you must have a Tessent cell library or the pad library.
Procedure
1. Load the design, including opening the TSDBs for the child cores: processor_core and
gsp_baseband. (Refer to lines 1-25.)
In addition, load the design for the DMA memory block, fusebox, and fusebox interface. The dofile
example shows an example fusebox. You must include an eFuse/OTP and eFuse/OTP interface in
your design unless you are opting for soft repair only.
3. Identify TAP pins and pins that cannot add boundary scan cells. (Refer to lines 29-41.)
5. Create the connections for the CPU-based and DMA IST controllers. (Refer to lines 52-68.)
You must connect the CPU-based IST controllers that you inserted at the block level so that they
can be controlled by the system logic. In addition, create the connection between the DMA memory
block and the DMA IST controller clock.
You can use a post-insertion script to connect the system logic with the IST controller.
6. Check the design rules and create the DFT specification. (Refer to lines 70-76.)
7. Segment the boundary scan to be used during logic test. (Refer to lines 78-79.)
8. Create the BISR controller connections for the clock, VDD, and fusebox. (Refer to lines 81-91.)
To connect the BISR controller to the system logic, you must specify the connection for the BISR
controller to use for repair clock, BISR reset, and VDD. Typically, system logic is connected to the
BISR controller for initiating memory repair and monitoring the progress of the operation.
The BISR controller input clock must be driven by an appropriate functional clock. Specify the
connection with the repair_clock_connection property in the DftSpecification/MemoryBisr/Controller
wrapper. The BISR controller input signal resets the BISR chains and initiates memory repair.
Specify the reset signal with the repair_trigger_connection property in the DftSpecification/
MemoryBisr/Controller wrapper. Also, specify your fusebox module and its location.
9. Identify the functional pins to be shared with EDT channel pins and add the required auxiliary I/O
logic. (Refer to lines 93-100.)
10. Generate the hardware and extract ICL. (Refer to lines 104-106.)
11. Generate ICL patterns and simulation testbenches for the controllers and instruments in the current
and lower physical instances. (Refer to lines 108-115.)
To re-run the lower physical instance simulations at higher levels, enable the set_default_values
simulate_instruments_in_lower_physical_instances property.
Examples
The following dofile example shows a command dofile for the top-level first DFT insertion pass for the
automotive flow.
Example 32. Dofile Example for Top-Level First DFT Insertion Pass, Automotive Flow
Note:
For top-level EDT and OCC, this procedure follows a typical second DFT insertion flow for a
top design as detailed in “Second DFT Insertion Pass: Inserting Top-Level EDT and OCC” on
page 245. Refer to this section for associated details.
Note:
The line numbers used in this procedure refer to the command flow dofile in Example 33 on
page 456 unless otherwise noted.
Procedure
1. Load the design. (Refer to lines 1-14.)
Ensure that you load in the TCD for the DMA memory block that is used for in-system test. (Refer
to line 10.)
3. Apply the add_dft_modal_connections command to specify the TAM and to connect the EDT
channel IOs of the wrapped cores to top-level pins via the TAM. (Refer to lines 32-76.)
Include connections for controller chain mode. For the processor core, use retargeting_mode1, and
for the GPS baseband, use retargeting_mode2.
With Tessent Shell you can share functional pins as EDT channel pins. The dofile shows that the
input pin GPI01_0 is shared as an EDT channel input pin, and the output pin GPI02_0 is shared
as an EDT channel output pin. Different modes can also share input and output pins. For example,
GPIO1_2 functions as both the processor core EDT mode channel input and the controller chain
mode uncompressed input for the entire design.
5. Create and process the DFT specification, using the read_config_data command to add the EDT
controller with bypass and the DMA IST controller. (Refer to lines 83-150.)
The top-level EDT controller includes bypass, and the edt_clock and edt_update signals are
automatically connected to EDT instances. The connect_bscan_segments_to_lsb_chains property
defaults to auto and connects the divided boundary scan segments from the previous insertion
pass to the top-level EDT controller.
Using the set_config_value command, connect the top-level EDT channels that you left
unconnected during step 3 to the GPIO ports. (Refer to lines 108-114.) The controller chain
connections are completed during scan insertion.
Finally, insert the DMA IST controller. (Refer to lines 116-150.) Set the protocol property to
direct_memory_access. To account for ATPG coverage of the IST controller under controller
chain mode, you can specify a TCD scan segment. Specify the data width, address width, and
max_test_program_count that determine the bus width of the IST controller’s program index,
and thus the number of patterns that are programmed for the controller. In addition, specify the
connections for the DirectMemoryAccess interface. The DMA IST controller inserts a comparator
circuit and outputs a fail flag and done bit.
6. Generate ICL patterns and simulation testbenches for the instruments in the current and lower
physical instances. (Refer to lines 152-172.)
Create the in-system test patterns for the IJTAG instruments you want to test. The dofile example
illustrates applying the MemoryBist_P1 pattern to test the memory inside the processor core. The
resulting Verilog testbench file is named FilePrefix_TestProgramIndex_PatternSetName.v, and the
memory file (for use with the DMA IST controller) is named testbench_file_name.mem.
Examples
The following dofile example shows a command dofile for the top-level second DFT insertion pass for the
automotive flow.
Example 33. Dofile Example for Top-Level Second DFT Insertion Pass, Automotive Flow
Note:
Tessent Shell works with any third-party scan insertion or other tools. However, because all
Tessent products reside on the same code and database, you lose some automation with third-
party tools.
Note:
The line numbers used in this procedure refer to the command flow dofile in Figure 101 on
page 461 unless otherwise noted.
Procedure
1. Load the design. (Refer to lines 1-12.)
Ensure that you specify a unique design ID, and that you open the TSDB for all the child cores.
2. Exclude some design objects from the scan insertion process. (Refer to lines 17-19.)
Exclude the pipeline stages that you added using add_dft_modal_connections and the fusebox
instance.
4. Create EDT and controller chain mode scan modes. (Refer to lines 25-55.)
Create an EDT scan mode to connect the scan chains to the EDT signals and EDT hardware that
you inserted during the second DFT insertion pass.
Create a top-level controller chain mode. To do this, you must first set the active_child_scan_mode
attribute value, which enables you to select the active child scan mode of a multi-mode core
and build a single chain for all controller chain mode IPs in all cores and also the top-level IST
controller. The IST controller must be tested in controller chain mode.
When you specify add_chain_mode for the controller chain mode, include controller chain mode
segments from the processor core, GPS baseband cores and the top elements. To reuse the
I/O pins you created with add_dft_modal_connections during the second DFT insertion pass,
specify the auxiliary pin SI/SO connections. For controller chain mode, you must specify the SI/SO-
associated ports so the tool recognizes that the chains are uncompressed.
Examples
The following dofile example shows a command dofile for top-level scan insertion for the automotive flow.
Figure 101. Dofile Example for Top-Level Scan Chain Insertion, Automotive Flow
Note:
Top-level ATPG pattern generation for the automotive flow follows the typical hierarchical flow as
described in “Top-Level ATPG Pattern Generation Example” on page 250.
If you used Tessent Scan to insert the scan chains, specify the import_scan_mode command for ATPG
pattern generation. Tessent Shell passes the scan-inserted design data through for the EDT and OCC
logic. This data includes the scan structures that are stored in the TSDB under the "gate" design ID. You
can create ATPG patterns for any mode that you need, such as stuck-at, transition, and controller chain
mode.
In addition, Tessent automatically creates and simulates the test_setup procedure cycles that are required
to initialize the EDT and OCC static signals. You only need to specify non-default parameter values, if, for
example, you run EDT with bypass on or set int_ltest_en to 1 to use the boundary scan as the source of
the core values and isolate the ATPG test from the top-level IOs.
You can read in the stuck-at fault models for the wrapped cores to calculate the total fault coverage for the
chip.
Note:
For the automotive flow, you must add the top-level free-running repair clock, as described in the
procedure steps.
Note:
Refer to the following directory, which has a usage example described in this section:
tessent_automotive_reference_flow_<software_version>/udfm_gen/stdlib
Procedure
1. Check all inputs and tools requirements are met.
For details, refer to the Required Licenses and Input Data chapter in the Tessent CellModelGen
Tool Reference.
3. Look at the existing run_flow script in the directory. Modify the run_flow script for your design.
Refer to the inline comments within the script for details.
4. Run the run_flow script to run Tessent CellModelGen on your standard cells.
5. Look at the existing run_export script in the directory. Modify the run_export script for your design.
Refer to the inline comments within the script for details.
6. Run the run_export script to combine the individual standard cell UDFM files into a single UDFM
file.
Note:
The line numbers used in this procedure refer to the command flow in “Example 34” on
page 467. Refer to the following directory, which has a usage example described in this section:
tessent_automotive_reference_flow_<software_version>/wrapped_cores/gps_baseband/
12.pattern_sorting
Procedure
1. Load the flat model generated from a post-layout design. (Refer to lines 1–11.)
2. Set a fault type, read in the UDFM file for your standard cells, and add faults. (Refer to lines
13-18.)
4. Run the set_critical_area_options ‑reporting on command to enable the TCA coverage reporting
feature. (Refer to line 28.)
6. Run the order_patterns -critical_area to sort the patterns based on TCA. (Refer to line 31.)
Examples
Figure 103. TCA Based Pattern Sorting Flow
The following dofile shows a command flow for generating a bridge/open UDFM for use in the
Automotive-Grade ATPG flow.
Procedure
1. Add the dft_signal_disable DFT signal to gate the TDR logic:
add_dft_signals dft_signal_disable
2. Enable the creation of the HFT module for all static DFT signals interacting with functional logic:
check_design_rules
create_dft_specification
process_dft_specification
Results
An instance of an HFT module is created in a TDR hosting the static DFT signals. This module provides
the gating of the specified static DFT signals in addition to SEU monitoring logic. Refer to Figure 104 for
an example.
The alarm signal is raised only in functional mode, and is gated with the all_test_out signal (the
all_test signal gated with fault tolerant logic). It is accessible on a persistent buffer regardless of the
DftSpecification add_persistent_buffers_in_scan_resource_instruments setting. The buffer instance name
is <tessent_persistent_cell_prefix>_hft_alarm_buf.
Use the following command to introspect the alarm signal:
get_icl_pins –filter \
{tessent_dft_function=="functional_mode_alarm"} \
-of_instance [get_icl_instance –filter \
{tessent_instrument_type=="mentor::ijtag_node"}]
Note:
If you do not add the DFT signals explicitly, the tool creates all_test and dft_signal_disable
automatically.
Note:
Typically, the reset value for DFT signals is 0. When the reset value is 1, the gating logic is
inverted, as shown with <sig_ResetVal_1> in Figure 104. Each DFT signal holds its reset value in
the functional mode of circuit operation.
In the single-pass DFT insertion flow, the dft_signal_disable signal is created in a Scan Resource
Instrument (SRI) TDR. Refer to Figure 105 for an example.
In the two-pass DFT insertion flow, the dft_signal_disable and all_test signals are defined in a Tdr(sri_ctrl)
module created during the first insertion pass. The TDR with logic test signals is created in the second
DFT insertion pass, and takes the all_test and dft_signal_disable signals as primary inputs. Each TDR
instance contains its own HFT logic, and two alarm signals are available on the TDR output ports. Refer
to Figure 106 for an example.
If you implement signal monitoring with the global set_dft_signals_options command, only those signals
that affect the functional mode of operation can be monitored. If you implement signal monitoring and
gating on a per-signal basis, any signal can be monitored.
By default, the capture_per_cycle_static_en and se_pipeline_en logic test control signals are not
monitored. Also, no scan mode signals are monitored by default. Monitoring and gating is possible only
for those static DFT signals you create with the ‑create_with_tdr option.
If you create the dft_signal_disable signal using the ‑source_node option, the tool implements the source
node as part of an ICL network regardless of design level.
Related Topics
add_dft_signals
set_dft_signals_options
get_dft_signals_option
Table 21. Core-Level TSDB Data Flow Inputs and Outputs (continued)
During the first DFT insertion pass, you provide the required files for your DFT implementation. These
files can include the RTL netlist, libraries for MemoryBIST insertion and boundary scan, and gate-level
cells that require a Tessent cell library.
Tessent Shell generates the dft_inserted_designs, instruments, and patterns directories within the TSDB
you specified. By default, Tessent Shell generates the TSDB in the current working directory if you do not
specify a location. For details about these directories and the TSDB, refer to "Tessent Shell Database
(TSDB)" in the Tessent Shell Reference Manual.
Tessent modifies the RTL netlist for the design into which it inserts the first-pass instrument hardware.
This hardware may include a MemoryBIST controller, BAP interface, and IJTAG network. In the flat DFT
implementation, it may also include boundary scan and a TAP controller. Tessent Shell generates new
RTL for the newly inserted DFT instruments.
In addition, Tessent Shell produces the TCD, ICL, and PDL for the design and the inserted instruments.
As shown in the red boxes in Figure 108, the design-level files and modified RTL are stored within
the dft_inserted_designs subdirectory for this insertion pass (design ID rtl1). However, the new RTL,
TCD, ICL, and PDL files for each inserted instrument are stored in subdirectories within the instruments
directory.
The patterns directory stores the patterns associated with the rtl1 design ID in an associated subdirectory.
Tip
To facilitate data management, you can save each design (whether flat, core, sub-block, or chip)
in its own TSDB. This is the recommended practice when using Tessent Shell for DFT insertion.
Figure 108. TSDB File Structure, Core Level, First Insertion Pass
Figure 109 shows the file structure for the second DFT insertion pass. The yellow box shows the design
data that the tool saved as rtl1 in the first pass, which it uses as input for the second pass. The red boxes
show the output files.
The relevant design RTL, TCD, ICL, and PDL files from the first DFT insertion pass are automatically
read in after you specify the read_design command as described in "Loading the Design". You only need
to supply a library, if required, and any DFT input requirement for the DFT instruments you are inserting
during this pass.
The hardware you insert in this pass, such as EDT and OCC, is stored in the instruments directory.
Separate directories are created for each type of hardware inserted in each insertion pass.
The patterns directory stores the patterns associated with the rtl2 design ID in an associated subdirectory.
Figure 109. TSDB File Structure, Core Level, Second Insertion Pass
Figure 110 shows the TSDB file structure after synthesis and scan chain insertion. The third-party
synthesis tool provides a synthesized gate-level netlist. This netlist, shown in Figure 110 in the yellow box
with a red outline, is the input for scan chain insertion along with the design-level TCD, ICL, and PDL from
the rtl2 design generated during the second DFT insertion pass.
For wrapped cores, Tessent performs wrapper analysis along with scan chain insertion, whereas for flat
designs, Tessent performs scan chain replacement and stitching. For information about using Tessent
Scan for scan insertion, refer to "Internal Scan and Test Circuitry Insertion" in the Tessent Scan and ATPG
User’s Manual.
Scan insertion does not insert instruments, so the instruments and patterns directories are not utilized in
this step.
Figure 110. TSDB File Structure, Core Level, Synthesis and Scan Insertion
The inputs to ATPG are the scan-inserted netlist and all the supporting files such as TCD, ICL, and PDL
files that were stored in the TSDB during the scan insertion pass (design_id gate), as shown in the yellow
box in Figure 111. Tessent creates the logic_test_cores directory to store the output pattern data for each
ATPG run, which can include runs for various test types and associated fault models as described in
"Fault Modeling Overview" in the Tessent Scan and ATPG User’s Manual. This is shown in the red boxes
in Figure 111. Refer to "Generating Test Patterns for Different Fault Models and Fault Grading" in the
Tessent Scan and ATPG User’s Manual for an example.
Before generating patterns for wrapped cores, Tessent creates a graybox model of the core. This model
is stored using the same design ID as the one created during scan insertion (design ID gate), so that
at the top-level you can either use the full design view or graybox view of the wrapped core. Refer to
"Performing ATPG Pattern Generation: Wrapped Core" for more information.
At the chip level, the core-level design data that was stored in the core-level TSDBs is used for ATPG
pattern retargeting. Refer to "RTL and Scan DFT Insertion Flow for the Top Chip" for details.
Figure 112 shows the data flow for the first DFT insertion pass. Tessent modifies the RTL netlist for
the design and generates new RTL for the boundary scan and MemoryBIST (if inserted) hardware. In
addition, it produces the TCD, ICL, and PDL for the design and the inserted instruments.
For integration at the top level, the scan-inserted design data and the interface views from the wrapped
cores are used. This is done by opening the core TSDB directories and using the read_design command
to read in the graybox model and the TCD, ICL, and PDL files.
The patterns directory stores the patterns associated with the rtl1 design ID in an associated subdirectory.
Tip
To facilitate data management, you can save each design (whether flat, core, sub-block, or chip)
in its own TSDB. This is the recommended practice when using Tessent Shell for DFT insertion.
You should have one TSDB per design.
Figure 112. TSDB Data Flow, Top Level, First Insertion Pass
Figure 113 shows the data flow for the second DFT insertion pass. In addition to the other input
requirements that you provide as shown in Table 22, Tessent uses the design data that was saved as rtl1
in the first pass, the gate scan-inserted design data, and graybox models from the wrapped cores.
Tessent saves the output design data for the EDT and OCC hardware in their applicable instruments
subdirectories. The design-level TCD, ICL, PDL, and modified RTL that includes the EDT, OCC, and
IJTAG network is placed in the dft_inserted_designs subdirectory for this insertion pass (rtl2).
The patterns directory stores the patterns associated with the rtl2 design ID in an associated subdirectory.
Figure 113. TSDB Data Flow, Top Level, Second Insertion Pass
Figure 114 shows the data flow for scan chain insertion. Scan insertion does not insert instruments, so the
instruments and patterns directories are not utilized in this step.
Figure 115 shows that output from ATPG pattern generation gets stored in the logic_test_cores directory.
As inputs, Tessent uses the scan-inserted design data for the chip and for the cores.
Figure 115. TSDB Data Flow, Top Level, ATPG Pattern Generation
As the final step for the top level in a hierarchical design, you perform ATPG pattern retargeting of the
core ATPG patterns as shown in "Top-Level ATPG Pattern Generation Example". Figure 116 shows that
you read in the ATPG patterns from the logic_test_cores directory from each of the core TSDB directories
and the scan-inserted design data for the chip and for the cores.
Figure 116. TSDB Data Flow, Top Level, ATPG Pattern Generation With Pattern Retargeting
• How the DFT Insertion Flow Applies to Hierarchical Designs on page 219
Note:
This procedure assumes your design meets the prerequisites of the hierarchical flow, as
described in DFT Architecture Guidelines for Hierarchical Designs on page 125. Additionally, each
physical block must have a full OCC with a shift clock injection mux and shift_only_mode.
The following figure shows an identical block-level workflow used to process a child physical block to
when you are not using SSN. As highlighted in the figure, you insert SSN into the design during the
second DFT insertion pass. In this same step, you add a second smaller EDT to the design for the
wrapper chains during external test. Click the boxes in the flow diagram to access the section describing
each step.
Procedure
The first DFT insertion pass inserts the non-scan DFT elements, such as memory BIST and IJTAG. It
happens before the second insertion pass inserts the logic test elements. Using SSN in the second DFT
insertion pass does not affect the first DFT insertion pass. At the top level, you must equip the boundary
scan cells with auxiliary input and output pins to connect the SSN bus. This change to the first DFT
insertion pass for the top level is described in “First DFT Insertion Pass: Performing MemoryBIST and
Boundary Scan” on page 193. For complete information about the current step at the block level, refer to
“First DFT Insertion Pass: Performing Block-Level MemoryBIST” on page 222.
Examples
Refer to the example dofile run_mbist_insertion in the directory shown in the "Referenced Testcase Step"
section earlier in this topic.
• Similar to EDT and OCC, the tool creates the SSN hardware based on the SSN wrapper of the
DftSpecification. For a description of the SSN wrapper, refer to the SSN wrapper description in
the Tessent Shell Reference Manual.
• When the tool creates the SSH, EDT, and OCC elements at the same time with a single
invocation of the process_dft_specification command, the tool automates the connections
between the SSH, EDT, and OCC instances.
• As with the normal flow, after the insertion of the SSN and the other logic test elements,
you must simulate the ICLNetwork pattern to verify the correct IJTAG access to all inserted
logic test elements, including the SSN elements. Following a successful simulation of the
ICLNetwork pattern, you must simulate the SSN Continuity pattern to verify that the SSN
datapath is correctly integrated into the design. The tool automates the ICL verification
patterns and the SSN continuity patterns as part of the create_patterns_specification and
process_patterns_specification commands. During validation of the SSN datapath, you are
responsible for using the iProc command to write to the Multiplexer node to configure the
datapath for which you want to verify continuity, as explained in Step 7 in the following procedure.
You must complete simulation of both the ICLNetwork pattern and SSN continuity pattern before
moving to the next step of the DFT flow.
• To use third-party OCC with SSN, refer to the topic “Third-Party OCCs With SSN” on page 667
in the Tessent SSN Examples and Solutions section.
To insert the logic test elements with SSN, use the following procedure to modify the dofile described in
“Second DFT Insertion Pass: Inserting Block-Level EDT and OCC” on page 224.
Lint Checks for the SSN Hardware
®
RTL output for SSN includes waivers for SpyGlass to avoid lint errors. These waivers include comments
detailing why the rules are waived and use the following format:
// <explanatory comment>
// spyglass disable_block <rule list>
// <waived code>
// spyglass enable_block <rule list>
The <rule list> uses SpyGlass naming for the rules that are being waived (for example, "W116 W164a").
Prerequisites
• SSN requires that each physical block have a full OCC with a clock injection multiplexer and
support of the shift_only_mode feature.
Procedure
1. Remove the add_dft_signals commands for the scan signals edt_clock, edt_update, scan_en,
shift_capture_clock, and test_clock.
These signals are not needed because they are sourced by the ScanHost node during scan test.
If you want to have your legacy channel access mechanism coexist with SSN for your first few
designs, refer to Example 2 in the ScanHost reference page.
This creates a dedicated IJTAG Sib node to serve as the host for the IJTAG interfaces of the
ScanHost and Multiplexer SSN nodes.
3. Update the comment for the report_config_syntax command to include SSN as an option to view
the syntax of the SSN DftSpecification:
4. Update the DftSpecification to include the SSN wrapper to create one or more SSN Datapaths with
the nodes you want to insert in them. The following example creates a 32‑bit wide Datapath with
a ScanHost node preceded and followed by a Pipeline node. The SSN reference page contains
several example of different SSN DftSpecifications:
SSN {
ijtag_host_interface : Sib(ssn);
DataPath(main) {
output_bus_width : 32;
Pipeline(out) {
}
ScanHost(1) {
}
Pipeline(in) {
}
}
}
5. Update the EDT wrapper of the DftSpecification to include mode enables for the EDT, and add a
second EDT for the wrapper chains to be used during external test mode.
a. Change the name of the EDT Controller from "Controller (c1)" to "Controller (c1_int)" to indicate
that this EDT controller is used for internal test mode and is different from the second EDT that
is used in external test mode.
b. Add a Connections wrapper within the EDT Controller (c1_int) wrapper with the mode_enables
property to define the DFT Signal int_edt_mode as the mode enable for this EDT. This DFT
Signal was added previously in the dofile and is used in the muxing logic that selects this
EDT’s channel outputs to the SSH during the internal test mode.
EDT {
ijtag_host_interface : Sib(edt);
Controller (c1_int) {
longest_chain_range : 70, 80;
scan_chain_count : 20;
input_channel_count : 3;
output_channel_count : 3;
Connections {
mode_enables : DftSignal(int_edt_mode);
}
c. Add a second EDT controller for the wrapper chains to be used during external test mode.
The "ext_edt_mode" DFT signal is used as the mode enable for this EDT. This DFT signal was
added previously in the dofile and is used in the muxing logic that selects this EDT’s channel
outputs to the SSH during the external test mode.
Controller (c1_ext) {
longest_chain_range : 70, 80;
scan_chain_count : 2;
input_channel_count : 1;
output_channel_count : 1;
Connections {
mode_enables : DftSignal(ext_edt_mode);
}
}
6. The SSN network that the specification just inserted is checked and extracted within the ICL
model using the same extract_icl command that already checks and extracts the IJTAG network
description. These design rule checks run automatically, and there is generally no need for
additional input, except that you must specify the ‑create_ijtag_graybox on switch to create the
IJTAG graybox in the TSDB.
7. The create_patterns_specification command creates a pattern specification for the ICL network
that includes the SSN logic that was just inserted and all other element types, such as the
Memory BIST logic (if present). The SSN continuity pattern is also created as part of the
create_patterns_specification process and verifies the SSN datapath is properly connected. This is
a mandatory verification step. The following example contains no Multiplexer node to configure at
the block level. If you have such nodes at the block level, understand how they are handled at the
bottom of the dofile example in the section “Second DFT Insertion Pass: Inserting Top-Level EDT,
OCC, and SSN” on page 511. Because you created an IJTAG graybox, the tool creates the ICL
verification patterns wrapper and SSN continuity patterns wrapper using the IJTAG graybox view
for both simulations.
Note:
You can also create the SSN continuity pattern with the low-level
create_ssn_continuity_patterns command, as shown at the bottom of the example dofile
found at the end of this section.
Examples
The following is a dofile for the second DFT pass. You can also find it in the run_ssh_edt_occ_insertion
scripts in the testcase directories shown in the "Referenced Testcase Step" section earlier in this topic.
The testcase also includes the run_continuity_sims script that shows how to simulate the SSN continuity
patterns.
The following is an alternate way to create the SSN continuity pattern. Refer to Step 7 in the Procedure
section of this topic for an explanation of how to create the SSN continuity pattern.
#
# Create the continuity pattern
#
# Define SSN bus clock. If you are using time multiplexing
# you must specify the -freq_multiplier switch
add_clocks ssn_bus_clock
In the dofile of the section “Second DFT Insertion Pass: Inserting Block-Level EDT, OCC, and SSN” on
page 488, you used the write_design_import_script command to export a design load script for use in
your synthesis tool.
Refer to the section “Synthesis Guidelines for RTL Designs With Tessent Inserted DFT” on page 989
for guidelines on how to perform the synthesis step. Also, refer to the section “Example Scripts Using
Tessent Tool-Generated SDC” on page 979 for example scripts specific to various synthesis tools.
When synthesis completes, it produces a file containing the concatenated netlist of the physical block you
just synthesized.
• If you performed scan insertion within the synthesis tool, continue with the steps described in the
section “Creating the Post-Synthesis TSDB View (Block-Level)” on page 495.
• If you are inserting your scan chains within Tessent, continue with the steps described in the
section “Performing Scan Chain Insertion With Tessent Scan (Block-Level)” on page 496.
Example
Example dofiles are available as the <module_name>.dc_synth_script files found in the testcase
directories shown in the "Referenced Testcase Step" section earlier in this topic. These files
specify the TCK period and the set_load_unload_timing_options values using a separate file called
<design_name>.timing_options. When you source this file at this point, it configures the SDC during
synthesis. A step later in the flow also sources this file from ATPG dofiles to configure the patterns with
the exact timing options that the tool used during timing closure.
Procedure
1. Set the context to -no_rtl and define the design_id as "gate" using the set_context command.
2. Use the read_verilog command to read the scan-inserted design. This is the output of the third-
party scan-insertion step.
3. Use the "read_design ‑no_hdl -design_id rtl2" command to load the collateral from the last DFT
RTL insertion step.
The design collateral includes the block level ICL, PDL, SDC, TCD, and other files.
The tool also updates design instance names in the ICL file. This happens when the ICL objects
were below generated blocks in the RTL.
Examples
The following is an example dofile for the TSDB consolidation step:
exit
The complete details for this step appear in the topic “Performing Scan Chain Insertion: Wrapped Core”
on page 229.
When SSN is present, the tool connects wrapper chains to the second, smaller EDT controller added
during the step “Second DFT Insertion Pass: Inserting Block-Level EDT, OCC, and SSN” on page 488.
The following procedure shows how to modify the dofile from the topic Performing Scan Chain Insertion:
Wrapped Core when you are using SSN.
Procedure
1. Remove the commands that exclude the *_edt_channels_* from wrapper analysis. For example,
remove:
set_wrapper_analysis_options \
-exclude_ports [ get_ports {*_edt_channels_*}]
In the SSN flow, the EDT channels connect directly to the ScanHost node instead of being brought
to the boundary of the block.
2. Add the following code to find each EDT and assign it to its intended scan mode:
During the second DFT insertion pass, the tool gave each added EDT controller a name according
to how it was intended to be used (internal mode EDT is "c1_int" and external mode EDT is
"c1_ext").
3. Add the following commands to assign each EDT to the intended scan mode. In this example, the
scan mode is named using the name of the DFT signal that activates that mode:
If you wanted to use different scan mode names, you would need to use the ‑dft_signal_name
switch of the add_scan_mode command to associate the correct DFT signal to that mode.
4. After you implement all the scan modes using the insert_test_logic command, run the code block
highlighted in gold at the end of the following example dofile to perform DRC on each scan mode
and extract the graybox model for the external mode.
5. Create the gate-level IJTAG graybox view by changing the context to patterns ‑ijtag and then
running the "analyze_graybox ‑ijtag" command followed by the "write_design ‑tsdb -graybox"
command.
Examples
The following is an example dofile for the scan insertion step:
# DRC check the scan chains in each mode and create graybox for
# external mode
exit
Procedure
1. The top part of the dofile is identical to the normal flow. You can examine the PatternsSpecification
generated by the create_patterns_specification command to determine how it uses the IJTAG
graybox view that you created in the previous step to simulate the ICLVerify patterns and the SSN
continuity patterns.
2. As discussed earlier in this flow, you are responsible for configuring the SSN datapath you want to
verify the continuity for by using iProcs containing iCall commands to the Tessent-generated PDL
Note:
You can also create the SSN continuity pattern with the low-level
create_ssn_continuity_patterns command, as shown at the bottom of the example dofile
found at the end of this section.
Examples
This example dofile shows how to verify the ICL model for SSN.
The create_patterns_specification command creates a pattern specification for the SSN continuity pattern
and the ICL network that includes the IJTAG logic, SSN logic, and the MemoryBIST logic, if present.
This example contains no Multiplexer node to configure. If you have such nodes at the block level,
understand how they are handled at the bottom of the dofile example in the section “Second DFT
Insertion Pass: Inserting Top-Level EDT, OCC, and SSN” on page 511.
exit
The following is an alternate way to create the SSN continuity pattern. Refer to Step 2 in the Procedure
section of this topic for an explanation of how to create the SSN continuity pattern.
#
# Create the continuity pattern
#
# Define SSN bus clock. If you are using time multiplexing
# you must specify the -freq_multiplier switch
add_clocks ssn_bus_clock
If you did not use Tessent Scan, you cannot use the import_scan_mode command, and you use the
add_core_instances command to select your active OCC and EDT controllers. You never need to use
the add_core_instances command on the ScanHost instance. The tool automatically adds ScanHost
core instances during the transition to analysis mode. When the tool performs the check_design_rules
command, it automatically adds the ScanHost instance to which a scan chain or an active EDT traced.
The 0opt.run_scan_graybox_generation script in the reference testcase mentioned previously provides a
clear illustration of this process.
After you run create_patterns, the tool maps the SSN data stream to the SSN bus. It calculates SSH
parameters when you write patterns with the write_patterns command. To determine the final calculated
parameters of the SSH, use the report_core_instance_parameters command after having called the
write_patterns command.
Use the SSH loopback pattern to verify that the SSN network can successfully deliver packetized data to
each active SSH. During the SSH loopback pattern, the ScanHost node does not send scan data out to
the scan chains and EDT but instead loops it back internally.
• SSN patterns written in the serial testbench format deliver packetized scan data over the SSN
data bus to each active SSH. Each active SSH transfers scan data to the scan chains and EDT
and locally generates the scan signals. The scan out compares are mapped to the SSN bus_out.
• SSN patterns written in the parallel testbench format apply scan data similarly to a non-SSN
parallel testbench. The tool adds cut points to the boundary of the SSH to drive the scan signals.
During Streaming-Through-IJTAG, the tool replaces the parallel SSN bus by the serial JTAG interface.
Select the serial JTAG interface as the streaming interface with the set_ssn_options command. The
tool delivers packetized scan data synchronously through the TDI port using TCK as the clock. You can
stream any SSN pattern set through the serial JTAG interface without modification. For more information
about Streaming-Through-IJTAG, refer to “Streaming-Through-IJTAG Scan Data” on page 535.
You can create SSN patterns using a scaled-down SSN bus width. Scale the SSN bus width with the
set_ssn_datapath_options command. You can reapply any SSN pattern using a scaled-down SSN bus.
When simulating patterns at the core level, the SSN bus clock typically runs slower because
it is limited by shift clock frequency. To run the bus clock at maximum frequency, set the
"SIM_SSN_MAXIMUM_BUS_SPEED 1" parameter value pair with the "write_patterns ‑parameter_list"
command and switch to add padding to the packet.
SSN supports only the .patdb file format for scan pattern retargeting.
Prerequisites
• Running ATPG with SSN requires a validated ICL model of the current design.
Procedure
1. Run ATPG in internal mode on the wrapped core.
This step in the SSN flow is similar to the step "Run ATPG on the internal mode of the wrapped
core" in the topic “Performing ATPG Pattern Generation: Wrapped Core” on page 233, in the
hierarchical flow section of this manual. Refer to this section for a detailed description of this step.
Use the following steps to update the dofile from the hierarchical flow procedure referenced
previously:
a. Set the ijtag_tck period for this core to the required frequency using the
set_ijtag_retargeting_options command. This should be the ijtag_tck clock frequency you
closed timing at.
b. Define the SSN bus_clock and shift_clock periods for the core using the
set_load_unload_timing_options command. The bus_clock period should be the maximum
bus_clock frequency you plan to use for the chip. If you specify a slower frequency, it limits the
bus clock frequency of the entire datapath when this ATPG pattern is retargeted. The default
bus_clock period is 2.5 ns, and the default shift_clock is 10 ns. The 1.run_internal_stuck and
2.run_internal_transition scripts in the reference testcase directories mentioned previously
show you how to do this with the synthesis process used.
c. Define the clock frequency used during the capture process. The SSH is capable of having
a different frequency for the shift_capture_clock during the shift and the capture cycles. The
default slow capture clock frequency is 40 MHz to enable reliable capture staggering.
d. Report core instances after you run check_design_rules. As part of check_design_rules, the
tool automatically adds the SSH core instance that was traced to from the active scan chains
and EDT controllers.
e. Write the SSH loopback pattern. Simulate this pattern to confirm that the SSN network can
successfully deliver packetized data to the SSH. Start by simulating the parallel load scan
patterns. Once those are clean, simulate the serial SSH loopback pattern, followed by one
Serial Chain pattern and one Serial Scan pattern.
The sample dofile in the following Examples section also creates the on-chip comparator self-
test pattern. This pattern set is only relevant for blocks having a ScanHost that supports the
on-chip compare mode. This pattern set is not required for sign-off simulation but is critical
during manufacturing test to ensure that no fault within the comparator logic can be present,
which could mask faults within the scanned logic to be detected. Refer to the section “On-Chip
Compare With SSN” on page 537 for more information about this pattern set.
f. Run the "set_chain_test ‑type nomask" command. This enables writing the chain test patterns
without masking. Simulating all chain test patterns is not practical for most designs. When you
write the chain test patterns with the "‑end 0" option, the tool writes one chain test pattern that
simulates all the chains more efficiently.
g. Write a single serial scan pattern. One serial scan pattern combined with a full set of parallel
patterns provides sufficient coverage of the SSN and the scan chains.
Refer to the Examples section for a sample dofile updated using these steps. Also, refer to the
1.run_internal_stuck and 2.run_internal_transition scripts in the reference testcase directories
mentioned previously.
Note:
This ATPG pattern is used only to verify the proper behavior of the wrapper chains. It
cannot be reused from the chip level.
The procedure for modifying the dofile presented in the hierarchical flow section is the same as the
procedure for modifying the internal mode dofile. Refer to Substeps 1.a through 1.g in Step 1 for
the instructions on updating your dofile. Refer to the Examples section for a sample dofile updated
using these steps.
Examples
Sample Dofile
The following dofile shows the result of following the instructions for internal and external mode ATPG.
Because the dofile for the two modes are very similar, the following dofile shows the full internal
mode dofile. The differences for an external mode dofile appear as comments in green text marked
"EXTERNAL MODE:".
All changes from the base hierarchical flow for SSN appear in gold text.
# Set the location of the TSDB. Default is the current working directory.
set_tsdb_output_directory ../tsdb_outdir
set_current_design processor_core
report_clocks
report_core_instances
# Generate patterns
create_patterns
report_statistics -detail
# Store TCD, flat_model, fault list and patDB format files in the TSDB
# directory
write_tsdb_data -replace
# Write Verilog patterns for simulation
# Write parallel load testbench
write_patterns patterns/processor_core_int_edt_mode_stuck_parallel.v \
-verilog -replace -parameter_list {SIM_COMPARE_SUMMARY 1} \
-pattern_sets scan
# Use this option for signoff simulations to verify all chains with a
# single chain pattern
set_chain_test ‑type nomask
write_patterns patterns/processor_core_int_edt_mode_stuck_serial_chain.v \
-verilog -serial -replace -end 0 -parameter_list \
{SIM_COMPARE_SUMMARY 1} -pattern_sets chain
write_patterns patterns/processor_core_int_edt_mode_stuck_serial_scan.v \
-verilog -serial -replace -end 0 -parameter_list \
{SIM_COMPARE_SUMMARY 1 ALL_EXCLUDE_UNUSED 0} \
-pattern_sets scan
# EXTERNAL MODE:
# write_patterns patterns/processor_core_ext_edt_mode_stuck_parallel.v \
# -verilog -replace -parameter_list {SIM_COMPARE_SUMMARY 1} \
# -pattern_sets scan
# Use this option for signoff simulations to verify all chains with a
# single chain pattern
# set_chain_test ‑type nomask
# write_patterns patterns/processor_core_ext_edt_mode_stuck_serial_chain.v \
# -verilog -serial -replace -end 0 -parameter_list \
# {SIM_COMPARE_SUMMARY 1} -pattern_sets chain
# write_patterns patterns/processor_core_ext_edt_mode_stuck_serial_scan.v \
# -verilog -serial -replace -end 0 -parameter_list \
# {SIM_COMPARE_SUMMARY 1 ALL_EXCLUDE_UNUSED 0} -pattern_sets scan
# Write SSH on-chip comparator self test patterns
write_patterns patterns/processor_core_int_ssh_occomp_self_test.v \
-verilog -serial -replace -end 8 -parameter_list \
{SIM_COMPARE_SUMMARY 1} -pattern_sets ssh_on_chip_compare
# report fault coverage
report_statistics -detail
exit
Note:
This procedure assumes your design meets the prerequisites of the hierarchical flow, as
described in “DFT Architecture Guidelines for Hierarchical Designs” on page 125. Additionally,
each physical block must have a full OCC with a shift clock injection mux and shift_only_mode.
Figure 1 shows the same top-level workflow you follow when not using SSN. It has been updated to
indicate that you insert SSN into the design during the second DFT insertion pass. This step adds a single
EDT if there is top-level logic. You can also add the boundary scan chains to this top-level EDT using
the BoundaryScan/max_segment_length_for_logictest property. Unlike at the core-level SSN insertion
flow, this process does not add a second, smaller EDT, because the top-level boundary scan chains can
provide isolation for the top level during top-level ATPG. Click the boxes in the flow diagram to access the
section describing each step. The steps described in this section are implemented in the "top" directory of
the Reference Testcase.
• For a complete description of this step in the standard hierarchical flow, refer to the section “First
DFT Insertion Pass: Performing Top-Level MemoryBIST and Boundary Scan” on page 243.
To define the auxiliary logic pins for connecting the SSN bus and bus_clock, modify the dofile
described in this referenced procedure.
• Identify the top-level input and output ports in your design that you plan to use for the SSN bus
and bus_clock. The bus should have the same number of input and output ports (for example, 16
inputs and 16 outputs).
Prerequisites
• SSN requires that the top-level design has an IEEE 1149.1 interface available during logic test.
• The lower-level physical blocks should have SSN inserted prior to inserting SSN into the top-level
design. If the SSN is incomplete for any lower-level physical blocks, use the ijtag_greybox view to
model the SSN datapath through the physical block during top-level SSN insertion. Alternatively,
use an SSN multiplexer to force the SSN datapath around the incomplete physical block.
Procedure
Modify the auxiliary_input_ports and auxiliary_output_ports wrappers with the top-level ports you plan to
use for the SSN bus and bus_clock:
# Add auxiliary mux on the inputs and outputs used for SSN bus and
# bus_clock
# bus_in {GPIO3_0 GPIO3_1} bus_out {GPIO4_0 GPIO4_1} bus_clock
{GPIO3_2}
read_config_data -in ${spec}/BoundaryScan -from_string {
AuxiliaryInputOutputPorts {
auxiliary_input_ports : GPIO3_0, GPIO3_1, GPIO3_2;
auxiliary_output_ports : GPIO4_0, GPIO4_1;
}
}
Examples
The following dofile is for the first DFT insertion pass. It contains changes to support SSN. These
changes appear in gold text.
# Set the location of the TSDB. Default is the current working directory.
set_tsdb_output_directory ../tsdb_outdir
set_design_level chip
# Define set_dft_specification_requirements to insert boundary scan at
# chip level
set_dft_specification_requirements -boundary_scan on -memory_Ttest on
# Specify the TAP pins using set_attribute_value
set_attribute_value TCK -name function -value tck
set_attribute_value TDI -name function -value tdi
set_attribute_value TMS -name function -value tms
set_attribute_value TRST -name function -value trst
set_attribute_value TDO -name function -value tdo
# Specify all clocks so that the proper BSCAN cells gets inserted
automatically for them
check_design_rules
# Create and report a DFT Specification
set spec [create_dft_specification]
report_config_data $spec
# Segment the boundary scan to be used during logic test
set_config_value $spec/BoundaryScan/max_segment_length_for_logictest 80
# Add auxiliary mux on the inputs and outputs used for SSN bus and
# bus_clock
# bus_in {GPIO3_0 GPIO3_1} bus_out {GPIO4_0 GPIO4_1} bus_clock {GPIO3_2}
read_config_data -in ${spec}/BoundaryScan -from_string {
AuxiliaryInputOutputPorts {
auxiliary_input_ports : GPIO3_0, GPIO3_1, GPIO3_2;
auxiliary_output_ports : GPIO4_0, GPIO4_1 ;
}
}
report_config_data $spec
# Generate and insert the hardware
process_dft_specification -transcript_insertion_commands
# Extract IJTAG network and create ICL file for the design
extract_icl
run_testbench_simulations
exit
• DesignInstance wrapper
◦ Pipeline
◦ Receiver1xPipeline
◦ OutputPipeline
◦ Multiplexer
◦ BusFrequencyDivider
◦ BusFrequencyMultiplier
This section explains the slight modifications that you do to the standard flow when you insert the SSN,
EDT, and OCC at the top level. For a complete description of this step in the standard flow, refer to
“Second DFT Insertion Pass: Inserting Block-Level EDT and OCC” on page 224.
Reference Testcase Step
You can perform this step in the following directory of the Reference Testcase:
• Similar to the logic test insertion passes at the block level, the tool creates the SSN hardware
based on the SSN wrapper of the DftSpecification. For a description of the SSN wrapper, refer to
the "SSN" wrapper description in the Tessent Shell Reference Manual.
• When the tool creates the SSH, EDT, and OCC elements at the same time with a single
process_dft_specification command, the tool automates the connections between the SSH, EDT,
and OCC instances.
• You must complete an ICL-based verification step at the end of the second DFT insertion
pass in order to verify the DFT elements you just added to the IJTAG network. The
ICL-based patterns are created as part of running the create_patterns_specification
and process_patterns_specification commands, and you simulate them using the
run_testbench_simulations command. The following are the ICL-based pattern verifications:
◦ ICLNetwork Pattern — Verifies IJTAG access to all new DFT elements added to the IJTAG
network and to the child blocks.
◦ SSN Continuity Pattern — Verifies you have correctly integrated the SSN datapath into your
design and detects potential problems along the datapath. If your datapath includes SSN
multiplexers, you must configure the multiplexer select to test each leg of the multiplexer, as
Note:
You can also create the SSN continuity pattern with the low-level
create_ssn_continuity_patterns command, as shown at the bottom of the example
dofile found at the end of this section.
• To use a third-party OCC with SSN, refer to the topic “Third-Party OCCs With SSN” on
page 667 in the "Tessent SSN Examples and Solutions" section.
To insert the logic test elements with SSN, use the following procedure to modify the dofile described in
“Second DFT Insertion Pass: Inserting Block-Level EDT and OCC” on page 224.
Prerequisites
• SSN requires that each physical block have a full OCC with a clock injection multiplexer and
support of the shift_only_mode feature.
• It is recommended that you have terminated the wrapper chains of the child blocks on a local
small EDT and that EDT is driven by the ScanHost node local to the block, as it was done in the
flow description found in the section “Second DFT Insertion Pass: Inserting Block-Level EDT,
OCC, and SSN” on page 488.
Procedure
1. Remove the add_dft_signals commands for the scan and retargeting signals edt_clock,
edt_update, shift_capture_clock, test_clock, retargeting1_mode, retargeting2_mode,
retargeting3_mode, and retargeting4_mode.
The scan signals (edt_clock, edt_update, shift_capture_clock, and test_clock) are not needed
because they are sourced by the SSH located in the top level. The scan signals to the lower-level
EDT and wrapper chain are sourced by the SSH inside the lower-level child core. When the lower-
level child cores are in external test mode, the internal mode EDT is inactive.
The retargeting signals (retargeting<n>_mode) are not needed because each core-level EDT is
accessible through the ScanHost node, effectively decoupling the dependency between core-level
EDT channels and top-level I/O. With SSN, you can retarget each core to the top level individually
or concurrently with any combination of the other cores.
To remove these commands, delete these lines:
3. Remove all of the add_dft_modal_connections commands that add multiplexer logic to support
scan pattern retargeting.
4. Add the SSN wrapper to the DftSpecification, as shown later in this step. The Datapath
connections point to the top-level ports that the previous step equipped with auxiliary input and
output logic during Boundary Scan. The tool automatically maps the specified port connections
to the corresponding auxiliary data pins and automatically connects the auxiliary en pins to the
ssn_en DFT signal.
A Multiplexer node wraps the two DesignInstance wrappers associated with the GPS instances.
You may need to create a similar setup in your design if the datapath through the those two cores
can be powered down. One way to avoid those multiplexers is to make sure the SSN datapath
remains in the always on domain. In this example, it is used to illustrate the way you create an
iProc to setup the datapath so that you can use it during SSN continuity and Scan retargeting.
5. Create the SSN continuity pattern to test the primary and secondary paths through the SSN
multiplexer.
a. Identify the different paths from SSN bus_in to bus_out through each leg of the SSN
multiplexers. In the example in substep 5.b, a single multiplexer is in the datapath. Some
complex datapaths may contain multiple SSN multiplexers.
b. Create an iProc to program the secondary datapath through the multiplexer. Reuse the iProc
during ATPG pattern generation to ensure that the datapath during ATPG has been checked.
Some complex datapaths may have multiple iProc procedures to program the different
multiplexer combinations.
#
# PDL for configuring top-level mux.
# When mux select=1'b1, gps_baseband physical blocks are included
#
iProcsForModule chip_top
iProc include_gps_blocks_in_ssn_datapath {} {
iCall chip_top_rtl2_tessent_ssn_mux_gps_byp_inst.setup \
select_secondary_bus 0b1
}
c. For each configuration of the multiplexers, use the ProcedureStep wrapper to create the
continuity pattern.
6. Add the "‑create_ijtag_graybox on" switch to the extract_icl command. The ICL-based patterns
verification step also makes use of the IJTAG graybox views of the top and child levels to optimize
the simulations.
Examples
The following is a dofile for the second DFT pass.
# Set the location of the TSDB. Default is the current working directory.
set_tsdb_output_directory ../tsdb_outdir
# Open the TSDB of all the child cores
open_tsdb ../../wrapped_cores/processor_core/tsdb_outdir
open_tsdb ../../wrapped_cores/gps_baseband/tsdb_outdir
set_current_design chip_top
# The design level is already specified in the first pass; no need to specify it
# again
check_design_rules
report_dft_control_points
# Create and report a DFT Specification
set spec [create_dft_specification -sri_sib_list {occ edt ssn} ]
report_config_data $spec
# Use report_config_syntax DftSpecification/edt|occ to see full syntax
# The edt_clock and edt_update signals are automatically connected to EDT
instances
# The EDT controller is built with Bypass
# The shift_capture_clock is automatically connected to OCC instances
read_config_data -in_wrapper $spec -from_string {
SSN {
ijtag_host_interface : Sib(ssn);
DataPath(1) {
output_bus_width :2;
Connections {
bus_clock_in : GPIO3_2;
bus_data_in : GPIO3_%d;
bus_data_out : GPIO4_%d;
}
OutputPipeline(1) {
}
ScanHost(1) {
}
DesignInstance(PROCESSOR_1) {
# Note the effective data and clock rate comments above each SSN node in the
# following command
report_config_data $spec
# Extract IJTAG network and create ICL file for the design
extract_icl ‑create_ijtag_graybox on
run_testbench_simulations -simulation_macro_definitions
TESSENT_DISABLE_CLOCK_MONITOR
check_testbench_simulations
exit
The following is an alternate way to create the SSN continuity pattern. Refer to Step 5 in the Procedure
section of this topic for an explanation of how to create the SSN continuity pattern.
#
# Create the continuity pattern
#
In the dofile of the section “Second DFT Insertion Pass: Inserting Top-Level EDT, OCC, and SSN” on
page 511, you used the write_design_import_script command to export a design load script for use in
your synthesis tool.
Refer to the section “Synthesis Guidelines for RTL Designs With Tessent Inserted DFT” on page 989
for guidelines on how to perform the synthesis step. Also, refer to the section “Example Scripts Using
Tessent Tool-Generated SDC” on page 979 for example scripts specific to various synthesis tools.
When synthesis completes, it produces a file containing the concatenated netlist of the physical block you
just synthesized.
• If you performed scan insertion within the synthesis tool, continue with the steps described in the
section “Creating the Post-Synthesis TSDB View (Block-Level)” on page 495.
• If you are inserting your scan chains within Tessent, continue with the steps described in the
section “Performing Scan Chain Insertion With Tessent Scan (Block-Level)” on page 496.
Example
Example dofiles are available as the <module_name>.dc_synth_script files found in the testcase
directories shown in the "Referenced Testcase Step" section earlier in this topic. These files
specify the TCK period and the set_load_unload_timing_options values using a separate file called
<design_name>.timing_options. When you source this file at this point, it configures the SDC during
synthesis. A step later in the flow also sources this file from ATPG dofiles to configure the patterns with
the exact timing options that the tool used during timing closure.
Procedure
Creating the post-synthesis TSDB view at the top level is identical to the equivalent step at the block
level. The only difference is that you also need to open the TSDB to the child blocks. Refer to the
section “Creating the Post-Synthesis TSDB View (Block-Level)” on page 495 for the details. The
create_post_synthesis_tsdb_view script in the directory shown previously in the reference testcase also
illustrates this process.
Procedure
When you are using SSN, the scan insertion step at the top level is very similar to the flow you use at
the block level. The only difference is that you do not need wrapper chains and, typically, have a single
scan mode. The boundary scan chains implemented in the section “First DFT Insertion Pass: Performing
Top-Level MemoryBIST” on page 509 were built so that the EDT controller can control them during
scan test, and they can serve as isolation from the outside. Those chains were preconnected to the EDT
ports and are left untouched during scan insertion. Refer to the section “Performing Scan Chain Insertion
With Tessent Scan (Block-Level)” on page 496 for more details. It may also be helpful to look at the
run_scan_insertion script in the directory shown previously in the reference testcase.
Procedure
The verification of the ICL-based patterns at the top level is identical to the procedure at the block level.
The only difference in the script is that you must open the TSDB for the child blocks. Refer to the section
“Verifying the ICL-Based Patterns After Synthesis (Block-Level)” on page 499 for the full details. It may
also be helpful to review the 1.create_post_synthesis_icl_verification_patterns script in the directory
shown previously in the reference testcase.
Procedure
Generating the ATPG patterns at the top level is identical to generating the patterns at the block level. The
block levels are in external mode when you create top-level ATPG patterns, so you only need to read in
their scan graybox views using the "read_design ‑view scan_graybox" command.
You also need to import the .timing_options file used for all blocks so that you create the ATPG
patterns to satisfy the maximum frequencies within all the blocks. The 1.run_tk_chip_stuck and
2.run_tk_chip_transition scripts in the directory shown previously for the reference testcase illustrate the
loading of the timing options. Use the following Tcl code used to perform this import procedure:
Refer to the section “Generating Block-Level ATPG Patterns” on page 501 for more details about the
content of the dofile, or examine the 1.run_tk_chip_stuck and 2.run_tk_chip_transition scripts in the
directory shown previously for the reference testcase. The file 3.run_sims in same directory shows how
Procedure
1. Load the design in Tessent Shell.
Regardless of which blocks you are retargeting, you always load the IJTAG graybox view of
all blocks when doing scan retargeting with SSN. The three "read_design ‑view ijtag_graybox"
commands used in the example dofile illustrate how to do this.
3. Configure the SSN Multiplexer node to provide access to all active SSH blocks.
The third section of highlighted code in the example dofile is commented out because the iCall it
contains configures the Multiplexer node when you want to include the gps_baseband. However,
this dofile only retargets the patterns for the processor_core block. As illustrated in Figure 120 on
page 515 in the section Second DFT Insertion Pass: Inserting Top-Level EDT, OCC, and SSN,
an inserted Multiplexer node enables you to bypass the gps_baseband blocks. When you want
to retarget the scan patterns of the gps_baseband block, you must configure the Multiplexer to
include them in the active SSN datapath.
Examples
The following dofile example illustrates how to perform scan retargeting with SSN.
# Set the Context to retarget ATPG Patterns from lower level child cores
set_context pattern -scan_retargeting
set_current_design chip_top
# source ../ssn_datapath_configuration.pdl
# set_test_setup_icall include_gps_blocks_in_ssn_datapath -append
check_design_rules
exit
Note:
This procedure validates that reverse mapping failures back to the core level is working properly.
It does not validate diagnosis.
Note:
When you write SSN patterns with the ‑max_loads option and exclude one of the pattern files from
being applied to the DUT on the tester, you should also exclude that file from the reverse failure
mapping process from reading that pattern file.
Tessent tools support a flow for validating the reverse failure mapping process that leads into the
diagnosis flow in the preceding figure by enabling the Verilog testbench to log simulation failure
information in a format similar to a tester fail file. To generate those failures, you must inject a fault on
a design primitive instance (sequential or combinational logic). The simplest way to do this is to force a
design node to a fixed value during the entire simulation, as illustrated in the testcase demonstration.
This demonstration injects a fault at one of the core scan cells during the event-driving simulation using
Questa Advanced Simulator or a third-party simulator. You use the fail file generated during pattern
Tessent™ Shell User’s Manual 525
Streaming Scan Network (SSN)
Performing Reverse Failure Mapping for SSN Pattern Diagnosis
testbench simulation to generate a core-level failure file; this does not change the diagnosis flow. Then
you use the failure file, design flat model, and pattern database to perform the diagnosis and generate the
list of suspects in an effort to find the root cause.
Reference Testcase Step
You can perform this step in the following directory of the Reference Testcase:
• While the diagnosis flow requires post-layout design flat models and patterns, the testcase uses
the post-synthesis flat model and patterns for the sole purpose of demonstrating the validation
flow.
• This validation flow uses the Verilog testbench for serial patterns. You cannot use the parallel
Verilog testbench.
• The Verilog testbench and STIL patterns should come from the same session, which means that
the number of patterns written for Verilog simulation must match the STIL patterns.
• The number of Verilog testbench patterns affects the simulation results during fault injection.
Choose a number that you can simulate in a reasonable amount of time while still being able to
detect the injected fault. This is typically ten patterns if you inject faults on the D input of a scan
flop.
• To demonstrate the flow, the testcase uses a Tessent-generated testbench of the serial scan
patterns to simulate and inject a fault at a given scan cell.
• Tessent uses the provided name as a prefix. This results in the following generated filename:
Prerequisites
• When you create the serial scan testbench, you must set the parameter SIM_DIAG_FILE with a
value of two to create the fail file during the fault-injected simulation:
write_patterns <testbench_filename> -serial -parameter_list {SIM_DIAG_FILE 2}
• You have injected a fault at any design cell. Do this by directly forcing any cell instances pin in
the design to a constant value to emulate a fault. The testcase does this as part of the simulation
invocation, as shown at the end of this prerequisite. The testcase uses the following cells as a
fault location:
The following example uses the Questa Advanced Simulator force command to inject a fault on
the data input of a design sequential cell:
vsim -c -voptargs="+acc"
chip_top_top_retarget_processor_core_stuck_serial_scan_v_ctl -l
logfiles/verilog.log_top_retarget_processor_core_stuck_serial_scan \
-do " force
sim:/chip_top_top_retarget_processor_core_stuck_serial_scan_v_ctl/
chip_top_inst/PROCESSOR_1/PROCESSOR_1/watchdog_0/wdt_reset_reg/D 1 -f ; \
if {0} {log -r /*};run -all"
Procedure
1. Perform reverse failure mapping:
d. Read in the fail file generated during pattern fault injection and simulation.
read_failures <fail_file>
The ATPG process for the targeted pattern creates this model. Typically, this is a compressed
file with a .gz extension.
Examples
Example 1
The following dofile is for the gps_baseband failure mapping. Find it in the run_script in the testcase
directories for this step.
Example 2
The following dofile is for the gps_baseband failure diagnosis. Find it in the run_script in the testcase
directories for this step.
# Diagnose failures
diagnose_failures top_retarget_gps_baseband_stuck_serial_scan. \
failure_diagnosis_ _ _GPS_2_ _gps_baseband_ _int_edt_mode_stuck
• Gate-level modules have been ungrouped during synthesis or the physical design process.
• The clock tree synthesis (CTS) process may replicate clock ports.
• When you return to setup mode to change active datapath nodes by using an iProc call or the
set_test_setup_icall command and you re-run design rule checking
• You invoke the write_patterns command after changing the datapath settings by running the
set_ssn_datapath_options command in analysis mode
This checking is turned off by default. Turn it on by running the "set_ssn_options
-incremental_datapath_extraction on" command in setup mode.
The following topics discuss incremental extraction and the rule checks SSN uses to validate the ICL in
cases where you must add elements to meet timing:
• SSN_R24
• SSN_R25
• SSN_R26
• SSN_R28
• SSN_R29
• SSN_R30
• SSN_R31
• SSN_R32
• SSN_R33
• SSN_R34
1. For all active datapath pipelines that have a hold functionality (that is, a pipeline holds the value
for extra cycles), the tool asserts the persistent buffer that disables the hold functionality.
◦ ScanHost
◦ BusFrequencyMultiplier
◦ BusFrequencyDivider
◦ Fifo
the tool traces between all adjacent nodes and between the first node and the input ports.
It ignores connections with the tessent_ssn_datapath_not_verifiable_in_design attribute as
appropriate. For datapaths that are not input-only, it also traces between the last node and the
POs.
Tracing occurs through pipeline stages. It pulses them in a shift simulation context that includes
pulsing the ssn_bus_clock or clocks. In this context, all hold disable pins for phase counters are
set.
Pipeline extraction uses X-value tracing. Pipelines controlled by a phase counter require a
persistent buffer on a hold disable pin to propagate the X value. If the tool does not find a
persistent buffer, it reports a warning stating that it cannot trace the ICL instance and ignores it
during analysis.
3. The tool counts the latency between pairs of pins, compares the latency with the values in ICL,
and sets the tessent_ssn_datapath_pipeline_stages ICL attribute with the additional latency
amount for cases where the extracted latency is greater.
4. The tool retains extracted pipeline stages only while it remains in analysis mode. When you
change from analysis mode to setup mode, the tool performs datapath extraction.
For this case, do not use black boxes for any instances the active datapath travels through. This
includes instances that do not have an active SSH. Instead, you should use the ijtag_graybox
view. This contains the elements of the datapath that the tool traces. You can also use the full
gate-level view of each instance; however, this is less efficient in terms of memory requirements
and tool run time.
The tool also reads the TCDs for any inactive SSHs to locate their persistent cells, so these files
are required. TCD files with support for incremental extraction have an incremented TCD version
(8).
• The tool traces and tests feedthrough paths with pipeline stages only when they are part of the
active SSN datapath selected during retargeting and ATPG. They are not required in the ICL
model.
• The functionality requires a flat model of the design. The tool maps ICL pins to flat model gates
using persistent buffers or pin name translation. It reports an SSN_R24 violation if the mapping
process fails.
• The tracing skips pipelines controlled by a phase counter without a persistent buffer on a hold
disable pin. In this case, it reports the following warning:
• You cannot move feedthrough pipelines added in RTL and extracted in ICL to a different design
hierarchy. We recommend adding feedthrough pipelines after ICL extraction.
• You cannot move pipelines to a different hierarchy after extracting them in ICL.
• The first and last state elements of a datapath must have the same strobe edge that the ICL
contains.
Advanced Topics
The following topics provide additional information about working with SSN. This information can help you
use other features and modes with SSN, configure your design for optimal use with SSN, and understand
the underlying structures of SSN.
Scan data delivery into the chip is significantly slower when using Streaming-Through-IJTAG than with the
SSN data bus. It is only one bit wide and limited to the maximum operating frequency of TCK. However,
the IJTAG network is very robust due to its two-edge timing and is always accessible in the system
through the IEEE 1149.1 JTAG TAP.
In silicon, if you find you have a timing problem in a section of the SSN datapath, the
Streaming‑Through‑IJTAG mode is available as an alternative method to deliver patterns to bring up
your initial parts. For this reason, the Streaming-Through-IJTAG mode is also referred to as a fail-safe
mechanism.
The Streaming‑Through‑IJTAG feature is also a more flexible replacement for LPCT-2. You are no longer
limited to being able to drive only a single EDT controller having a single channel pair when using the
IEEE 1149.1 protocol for delivering scan data.
If your IJTAG network architecture is an IJTAG streaming-compatible setup, in that it provides concurrent
access to all ScanHost nodes you want to access through IJTAG (as is possible when using SIBs), you
can test any number of blocks in parallel with an unlimited number of channels. This can be useful in a 3D
or 2.5D package if IEEE 1838 compliance is a requirement.
The IEEE 1838 standard mandates that you can perform the die interconnect test through the IEEE
1149.1 JTAG TAP, using only TCK as the scan and capture clock. To do this, create a scan mode within
each die that collects the wrapper cells interacting with the pins of the die, and create ATPG patterns
using this scan mode to target the interconnect faults. Apply these patterns through the normal SSN bus,
which is effectively the FFP mode of the IEEE 1838 standard. Alternatively, retarget those ATPG patterns
to apply through the IEEE 1149.1 TAP by using the Streaming‑Through‑IJTAG mode that comes with SSN,
and satisfy the IEEE 1838 requirements.
Consider the following while using Streaming‑Through‑IJTAG:
• All ScanHost nodes you want to include must be in the active IJTAG scan path.
Streaming‑Through‑IJTAG mode does not support IJTAG broadcast. Star network configurations
restrict the number of ScanHost nodes that can be active in parallel. The IJTAG solver within the
Tessent tool automatically opens up the IJTAG path if the network permits it.
• The Streaming‑Through‑IJTAG mode supports both internal and external capture, and all fault
models are supported.
Tip
At the top level, make the boundary scan chains available to the top-level EDT
controller for best results. (Refer to the max_segment_length_for_logictest property
description in the DftSpecification/BoundaryScan wrapper reference page for more
details.) Add the int_ltest_en DFT signal at the top level and set it to 1 using the
set_static_dft_signal_values command during ATPG.
This enables full coverage of the chip logic without the need to drive the primary inputs and
observe the primary outputs during ATPG.
• You can run any number of ScanHost nodes concurrently in this mode as long as your IJTAG
network permits placing all the ScanHost nodes along the active IJTAG scan path.
• When a Streaming‑Through‑IJTAG session is complete, the binary states of all SIBs along the
active scan path are restored to their states that were present before streaming began. This
provides a predictable IJTAG network configuration between Streaming‑Through‑IJTAG sessions.
All scan testable instruments on the IJTAG network must be isolated from the following IJTAG interface
signals:
• ijtag_select
• ijtag_se
CAUTION:
If the state of these IJTAG interface signals is observable into scan cells, the enabling of the
IJTAG streaming feature may invalidate the patterns.
You can accomplish this isolation by gating the signals with the ltest_en DFT signal. All scan tested
instruments under the SIB STI are already isolated and do not require any hardware changes. Refer to
the figure "Sib(sti) With Scan Isolation Chain" in the "Sib" reference page for details about the way scan
tested instruments are isolated from the IJTAG network during scan test when you use the recommended
Sib structure that is automatically inferred within the create_dft_specification command.
• Common Usage Scenarios — Scenarios that the on-chip compare feature is designed to
address.
• On-Chip Compare Mode Operation — The methods used by the on-chip compare feature and the
packet format when the feature is enabled.
• Costs, Benefits, and Recommendations — Trade-offs between DFT area and test time efficiency,
and recommendations for when the on-chip compare feature is most effective.
• On-Chip Compare Mode Setup — Instructions for building your ScanHost with the on-chip
compare feature and enabling the feature during ATPG and scan pattern retargeting.
• Diagnosis Using On-Chip Compare — Instructions for enabling detailed diagnostics when using
the on-chip compare feature.
• You have one or more physical blocks that are instantiated multiple times within the design. With
on-chip compare, the packet includes the chain input values and also the expected and mask
values. The packet values are not altered by the ScanHost node because the chain input values
are not replaced by the chain output values and thus can be reused by any number of instances
of that physical block. The section “Costs, Benefits, and Recommendations” on page 539
describes the number of instances of the physical block required for the on-chip compare feature
to become beneficial for test time and test data volume considerations.
• You want to quickly identify the failing cores on the ATE during manufacturing because you
have a Partial Good Die methodology that tolerates a subset of identical cores failing. In such
a case, the failing cores must be identified on the tester, and the test flow must take actions to
decommission them while testing the rest of the chip.
The on-chip compare mode provides a sticky status bit per ScanHost node that IJTAG scans
out at the end of the pattern set to directly identify the failing blocks. You can also scan
out a sticky status per channel output if you require. Refer to the "OnChipCompareMode/
sticky_status_resolution" property description in the ScanHost reference page in the Tessent
Shell Reference Manual for information about how to request usage of this feature. Refer to the
OnChipCompareMode/present property description in the same topic for information about the
special annotations added to the STIL file to quickly identify the comparisons that match the
sticky status bits.
For more details about the packet format in both modes, refer to the section "SSN Packet Formats" in the
ScanHost reference page.
Note:
If you disable contribution with the disable_on_chip_compare_contribution bit, the sticky status
bits for that SSH are not set. However, the status bits that are forced high to verify correct
ScanHost configuration are still forced in this situation.
If identical core instances are split into multiple groups, this slightly increases the test time, but decreases
the probability of resorting to multiple test applications for collecting diagnosis data. In the example shown
in the figure "SSN Packet Formats When Using On-Chip Compare" in the ScanHost reference page in the
Tessent Shell Reference Manual, the six cores are split into two groups. If you find cores A1 and A4 to
have failed, there is no need for test reapplication, because cores A1 through A3 accumulate their status
bits separately from cores A4 through A6. However, if cores A1 and A3 fail, you must reapply the tests
with patching to acquire the individual fail data. In an extreme case, you may choose to assign each core
instance to its own group to observe each core individually. This mode of operation may be better suited
for silicon debug than high-volume manufacturing.
To learn about the ATE failure log format, refer to the section "ATE Failure File Format Requirements" in
the Tessent Diagnosis User’s Manual. Performing on-chip compare requires special handling for scan
diagnosis in both test application and failure logging. For details, refer to the section Logging Failures for
SSN On-Chip Compare in the Tessent Diagnosis User’s Manual.
To learn about how to map the failures at the chip boundary to the core level and to enable performing
detailed diagnostics, refer to the section "Reverse Mapping Top-Level Failures to the Core" in the Tessent
Diagnosis User’s Manual. The failure mapping steps described in this section are required when using
SSN, even for top-level ATPG patterns. This is unlike when you are not using SSN, where they are only
required for retargeted scan patterns.
Figure 123. Faults in Comparator Logic That Could Mask Real Faults
edt_update_falling_launch_word 0
edt_update_falling_transition_words 0
extra_shift_packets 0
force_suppress_capture 1
loop_back_en 1
on_chip_compare_enable 1
on_chip_compare_group 1
on_chip_compare_group_count 1
scan_en_launch_packet 0
scan_en_transition_packets 0
total_shift_cnt_minus_one 1
With these settings, each scan load is exactly five packets long, and the packets have the
following labels: edt_update, shift0, shift1, post_shift0, and post_shift1. The values of the
from_scan_out_bits registers equal the number of output chains usable during on-chip compare for
each chain group; refer to the ScanHost reference page for more details in the description of the
output_chain_count_in_on_chip_compare_mode property. The values of the to_scan_in_bits registers
match the exact value of the from_scan_out_bits register for each chain group. This ensures that there
are exactly the same amount of scan-in values as there are comparators to test. The format of the five
packets is shown in the following output for an example ScanHost having 3 comparators. The green
values in the following output carry the scan payload in and out. The tool compares the i0, i1, and i2
values provided in the shift0 and shift1 packets against the e0, e1, and e2 values and masks them with
the m0, m1, and m2 values scanned in during the shift1 and post_shift0 packets. The tool scans out the
comparator statuses per output chain during the post_shift0 and post_shift1 packets.
The following list describes three control bits whose time slots are identified in gold in the preceding scan
load description.
• select_sticky_status — This control bit is scanned in during the i0 time slot of the edt_update
packet. When the value is "1", the select_sticky_status signal, shown in Figure 123 on
page 541, goes high at the end of the shift1 packet within the same scan load.
As that figure illustrates, the logic injects the sticky status results into the status time slots of
the post_shift0 and post_shift1 within the same scan load. It also injects the global sticky status
into the status time slots of the shift0 packet (shown in magenta in the preceding scan load
description) of the next scan load. As previously described, the select_sticky_status signal goes
up or down at the end of the shift1 packet, which explains why the global sticky status observed
in the shift0 packet happens in the next scan load.
• last_scan_load — This control bit scans in during the i0 time slot of the post_shift0 packet. It
indicates to the ScanHost that the current scan load is the last one and to stop performing any
comparisons in the next scan loads that may continue to flow through the datapath, which are to
complete the testing of other ScanHosts with more comparators to test.
• clear_sticky_status — This control bit is scanned in during the i0 time slot of the post_shift1
packet. When the value is "1", the clear_status signal, shown in Figure 123 on page 541,
goes high during the shift1 packet within the next scan load. As mentioned in the preceding
"select_sticky_status" section, the tool observes the global sticky status during the shift0 packet,
which is before the clear_sticky_status takes effect. Therefore, it observes the effect of the
clear_sticky_status in the next scan load, assuming no new miscompares were injected during
the post_shift0 and post_shift1 packet to cause the global sticky status to go up again after it was
cleared at the end of the shift1 packet.
The on-chip comparator self-test pattern set comprises six phases, described in the following sections.
Every compare in the pattern uses a unique label for identification, constructed as in the following:
The output patterns file such as STIL has one such bit_annotation for each output time slot to help quickly
identify the meaning of any miscompares. Refer to the section "Retargeted Symbolic Variables" in the
Tessent IJTAG User’s Manual for information about the bit annotations.
The following describes the six phases of the on-chip compare self-test, with the faults from Figure 123 on
page 541 that they each cover.
Phase 1
This phase consists of a single scan load formed with one set of the five packets shown previously.
This scan load uses consistent scanin and expected values for both shift cycles so that it generates no
miscompares and the sticky statuses get initialized to 0. The phase_id is "phase1".
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 0 0 0 0 0 0 0 0 0 L L L
shift0: 1 1 1 0 0 0 0 0 0 L L L
shift1: 0 0 0 1 1 1 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 L L L
post_shift1 0 0 0 0 0 0 0 0 0 L L L
Phase 2
This phase consists of N scan loads used to test that the N XOR gates in Figure 123 on page 541 are
not stuck at 0 when the scanin value is 0 and the expected value is 1. The phase_id is "phase2_X"" when
testing the Xth comparator. The following is the scan load used to test comparator 0.
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 0 0 0 0 0 0 0 0 0 L L L
shift0: 0 0 0 0 0 0 0 0 0 L L L
shift1: 0 0 0 1 0 0 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 H L L
post_shift1 0 0 0 0 0 0 0 0 0 L L L
Phase 3
This phase consists of N scan loads used to test that the N XOR gates in Figure 123 on page 541 are
not stuck at 0 when the scanin value is 1 and the expected value is 0. The phase_id is "phase3_X" when
testing the Xth comparator. The following is the scan load used to test comparator 0.
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 0 0 0 0 0 0 0 0 0 L L L
shift0: 1 0 0 0 0 0 0 0 0 L L L
shift1: 0 0 0 0 0 0 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 H L L
post_shift1 0 0 0 0 0 0 0 0 0 L L L
Phase 4
This phase consists of two scan loads. It tests that the sticky statuses are able to hold. As shown
in Figure 123 on page 541, there is a global sticky status and optional sticky statuses per output
chain. The ScanHost/OnChipCompareMode/sticky_status_resolution property controls the presence
of the sticky statuses per output chains. The phase_id for those two scan loads are "phase4_0" and
"phase4_1".
The effect of the select_sticky_status control bit takes effect at the end of the shift1 packet within the
same scan load. The effect of the clear_sticky_status happens at the end of the shift1 packet within the
next scan load.
When only the global sticky status is present, the pattern looks like the following diagram. The
select_sticky_status takes effect at the end of the shift1 packet. The red H in the second scan load
corresponds to the global sticky status being observed during the last status time slot of the shift0 packet.
The global sticky status remembers the faults injected during Phase2 and Phase3 and clears at the end
of the shift1 packet of the second scan load.
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 1 0 0 0 0 0 0 0 0 L L L // select_sticky_status
shift0: 1 1 1 0 0 0 0 0 0 L L L
shift1: 0 0 0 1 1 1 0 0 0 L L L
When the sticky statuses per output chain are present, the pattern looks like the following diagram.
The global sticky status observation is identical to what was described previously. However, because
the sticky statuses per output chain are present, the tool observes them during the first scan load. The
clear_sticky_status control requested during the first scan load takes effect after the shift1 packet of the
next scan load, which explains why the status values return to being L during the second scan load.
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 1 0 0 0 0 0 0 0 0 L L L // select_sticky_status
shift0: 1 1 1 0 0 0 0 0 0 L L L
shift1: 0 0 0 1 1 1 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 H H H
post_shift1 1 0 0 0 0 0 0 0 0 H H H // clear_sticky_status
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 1 0 0 0 0 0 0 0 0 L L L
shift0: 1 1 1 0 0 0 0 0 0 X X H // global sticky status
shift1: 0 0 0 1 1 1 0 0 0 L L L // status cleared here
post_shift0 0 0 0 0 0 0 0 0 0 L L L
post_shift1 0 0 0 0 0 0 0 0 0 L L L
Phase 5
This phase consists of N scan loads. It tests that all inputs of the wide OR gate feeding the global sticky
status register are not stuck at 0. The tool generates faults for each comparator in its respective scan load
by setting the shift0 value to 0 and expecting a H. It observes the global sticky status in the last status bit
of the Shift0 packet of the next scan load. The phase_id for those two scan loads is "phase5_x".
The following diagram shows the first two scan loads with the sticky status per chain output feature
enabled. The failure appears during the post_shift1 packet, even though the tool detected the fault in the
post_shift0 packet because of the presence of the blue flip-flops in Figure 123 on page 541:
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 1 0 0 0 0 0 0 0 0 L L L // select_sticky_status
shift0 0 1 1 0 0 0 0 0 0 L L L // global sticky status
shift1 0 0 0 1 1 1 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 L L L
post_shift1 1 0 0 0 0 0 0 0 0 H L L // clear_sticky_status
edt_update: 1 0 0 0 0 0 0 0 0 L L L // select_sticky_status
shift0 1 0 1 0 0 0 0 0 0 X X H // global sticky status
shift1 0 0 0 1 1 1 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 L L L
post_shift1 1 0 0 0 0 0 0 0 0 L H L // clear_sticky_status
The following diagram shows the first two scan loads with the sticky status per chain output feature turned
off. The failure appears during the post_shift0 packet because of the absence of the blue flip-flops in
Figure 123:
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 1 0 0 0 0 0 0 0 0 L L L // select_sticky_status
shift0 0 1 1 0 0 0 0 0 0 L L L // global sticky status
shift1 0 0 0 1 1 1 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 H L L
post_shift1 1 0 0 0 0 0 0 0 0 L L L // clear_sticky_status
edt_update: 1 0 0 0 0 0 0 0 0 L L L // select_sticky_status
shift0 1 0 1 0 0 0 0 0 0 X X H // global sticky status
shift1 0 0 0 1 1 1 0 0 0 L L L
post_shift0 0 0 0 0 0 0 0 0 0 L H L
post_shift1 1 0 0 0 0 0 0 0 0 L L L // clear_sticky_status
Phase 6
This phase consists of one scan load. It observes the last global sticky status result associated to the last
comparator that was triggered during the last scan load of Phase 5. During this phase, the tool also fault-
injects all comparators to set all sticky statuses per comparator and observes them with an IJTAG scan
load as a last step when the feature is present. The phase_id for this scan load is "phase6".
i0 i1 i2 e0 e1 e2 m0 m1 m2 s0 s1 s2
edt_update: 0 0 0 0 0 0 0 0 0 L L L
shift0 0 0 0 0 0 0 0 0 0 X X H
shift1 0 0 0 1 1 1 0 0 0 L L L
post_shift0 1 0 0 0 0 0 0 0 0 H H H // last scan load
post_shift1 0 0 0 0 0 0 0 0 0 L L L
Note:
For more information about clock tree synthesis (CTS) and implementing stop points for CTS,
refer to the section “CTS Exceptions for the SSH” on page 614.
• To understand how to insert the clock domain transfer node within the top physical regions, refer
to Example 1 of the BusFrequencyDivider topic.
• To understand how to insert the clock domain transfer node such that the source and
destination clock domains remains localized to each physical block, refer to Example 2 of the
BusFrequencyDivider topic.
• To understand how to insert the clock domain transfer node inside the receiving physical block
to keep the number of pins crossing the physical block boundary to a minimum, refer to Example
3 of the BusFrequencyDivider topic. When you do this, you need an extra miniature clock tree
within the receiving physical block for the BusFrequencyDivider node and must use a source
synchronous timing interface between the sourcing and receiving physical block. Refer to the next
section for an explanation of such timing interfaces.
Refer to the Using the BusFrequencyDivider to Cross CTS Regions section of the BusFrequencyDivider
topic in the Tessent Shell Reference Manual for an explanation on how the BusFrequencyDivider and
BusFrequencyMultiplier nodes work together to provide an arbitrarily large tolerance of skew between the
transmitting and receiving clock domains by increasing the frequency_ratio value.
Figure 125. Stepping Down the Clock Tree on the Last Pipeline Stage
Independent observation of datapaths is required both during loading and unloading of the datapath.
Normally there is one SSH instance per core instance, but there can be more than one SSH per core as
shown in the figure. Every SSH instance has its own packet location on the SSN bus.
The following figure summarizes the information using a table for each pin on the SSN bus. Each table
also indicates the modulus and core instance relationships:
Figure 129. Pin Tables With Modulus and Core Instance Relationships
These pin tables associate each failing pin and cycle combination with a particular core instance based
on the following modulus calculation:
Modulus = Cycle % Cycle Repetition
The cycle repetition is seven, which was first established in Figure 128. If there is a failure in cycle 15 on
Pin1, the equation 15 % 7 calculates that the modulus is 1. Using the Pin 1 look-up table in Figure 129,
modulus 1 reveals that the failure came from core instance "b". The following figure has a summary:
Figure 130. Determine Failing Core Instance With Modulus and Pin Tables
There are annotations in the pattern file to provide the necessary information to calculate modulus and
setup pin tables. The following figure shows an example of these annotations, which are located in the
"ssn_mapping" section:
The final piece of information that you need is the cycle where the rotation begins. The vector in the
retargeted pattern file that has the following annotation is the cycle where the rotation begins:
Note:
By default, the pattern includes annotations that specify the mapping from the top-level ports to
the SSH, regardless of whether packet rotation is on or off. You can control these annotations with
the set_ssn_options ‑ssh_mapping_annotations command.
If a ScanHost node has multiple status groups for on-chip compare (as defined by the
OnChipCompareMode/status_groups property) and throttling is enabled, the resulting pattern
does not include mapping annotations for that node. In this case, the tool reports a warning
instructing you to disable throttling if you want the pattern file to include annotations.
Note:
Creating SSN patterns with packet constraints is useful for testing non-identical cores for which
the SSH is not implemented with the on-chip compare feature.
Use the "set_ssn_options ‑packet_constraints no_rotation" command to stop rotation of the packet around
the SSN bus, as shown in Figure 133. In this figure, the packet is nine bits wide and contains five bits
of Core A and four bis of Core B. The SSN bus is eight bits wide [7:0]. The packet rotates around the
bus by one bit in bus_clock cycle 0 in the top half of the figure. As the bus_clock cycles increase, the
packet continues to rotate around the bus. When you stop rotation of the packet with the set_ssn_options
command, the tool pads the packet to make the packet size a multiple of the bus width, as shown in the
bottom half of the figure. In this example, the packet increases to two bus_clock cycles wide (0 and 1),
with seven bits of padding added to time slots one through seven in Cycle 1.
Regardless of the number of bus words the packet occupies, when you use the "set_ssn_options
‑packet_constraints no_rotation" command, the tool adds padding to the packet to make the packet a
multiple of the bus width. This enables a predictable mapping from top-level data_out ports to the active
SSHs. Because the packet can be multiple bus words, the mapping is different for each bus_clock cycle,
as shown in the following example.
This example illustrates the annotations that the write_patterns command adds to the SSN STIL or WGL
pattern files to show the SSH to SSN data_out port mapping required when you use the "set_ssn_options
‑packet_constraints no_rotation" command.
Example 35. Annotations for SSN Mapping With Packet Rotation Disabled
This mapping enables the ATE to set up a fail limit per pin × (shift_cycle % cycle_repetition), where
cycle_repetition is the number of bus words a packet occupies. In this way, you can ensure that all cores
can be observed and the ATE can enforce a per-pin limit for failure messages.
If you need to map back to the specific from_scan_out bus of the SSH without dealing with the
complexities of bandwidth tuning, you can disable data throttling, combined with disabling the packet
rotation. This typically costs test efficiency, so it may not suit your overall run time needs. Use the
"set_ssn_options ‑packet_constraints no_rotation ‑throttling off" command to disable data throttling and
stop the rotation of the packet around the bus.
The following example shows annotations that the write_patterns command adds to the SSN STIL
or WGL pattern files to show the SSH to SSN data_out port mapping required when you use the
"set_ssn_options ‑packet_constraints no_rotation" command.
554 Tessent™ Shell User’s Manual
Streaming Scan Network (SSN)
Yield Statistics on ATE With SSN
Example 36. Annotations for SSN Mapping With Packet Rotation and Throttling Disabled
Note:
The process of creating manufacturing patterns is different from creating signoff patterns. For
a description of signoff patterns with SSN, refer to the section “Signoff Patterns With SSN” on
page 576.
Use the set_load_unload_timing_options command to set the timing of the SSN when creating
manufacturing patterns. If you share the SDC procedure set_load_unload_timing_options between the
Tessent tools and static timing analysis, it is only required for you to source the shared file. Sharing the
SDC procedure set_load_unload_timing ensures the timing of the manufacturing patterns written from the
Tessent tools precisely matches the timing of the design.
Table 23 shows the patterns you should use to test your silicon with SSN. These patterns are
recommended for both first silicon test and production test. It is also recommended for both that you start
with the least complex patterns and incrementally verify the SSN with each pattern up through the most
complex patterns. The ICLNetwork Verify patterns are the least complex, gradually leading to Retargeted
SSN patterns and (where applicable) Top-Level ATPG SSN patterns. For more detailed descriptions of
the patterns in this table, refer to the section “Signoff Patterns With SSN” on page 576.
Only designs that you have implemented with on-chip compare require the OCComp Self-Test Pattern
shown in this table. This pattern verifies that the SSN on-chip compare logic is free of any stuck-at faults.
For more information about SSN on-chip compare patterns, refer to "How to Write SSN On-Chip Compare
Patterns" in this section.
Top-Level
OCComp
ICLNetwork Continuity Loopback ATPG and
2 3 Self-Test
Verify Patterns Pattern 4 Pattern Retargeted SSN
Pattern
Patterns
X (scan test)
____________________________________________________________________________________
2. Includes verification of streaming-through-IJTAG.
3. Based on multiplexers in the active datapath, you may need multiple continuity patterns.
4. Required only when the SSN is equipped with on-chip compare. If your datapath includes
multiplexers, required only when the multiplexers are configured such that the datapath contains
ScanHost nodes with OCComp.
5. Optional pattern that can be used to identify cause of failure.
Tessent™ Shell User’s Manual 557
Streaming Scan Network (SSN)
Manufacturing Pattern Quick Reference
Note:
Manufacturing patterns achieve maximum test coverage of the device under test and do not have
any pattern limits when created.
The following topics describe the SSN patterns and, because you can write the procedures that make
up SSN patterns to separate files, they also describe the strict order you must follow when applying the
manufacturing patterns to the tester when you have written the different procedures of the SSN pattern to
separate files:
ICLNetwork None Verifies ICL instruments (tool and user) are readable and writable along the
verify IJTAG serial path.
Verifies the full scope of the IJTAG network, including
streaming-through-IJTAG path.
Runs at TCK period.
Continuity None Verifies SSN bus integrity between bus data_in and data_out.
Runs at bus_clock period.
Parallel scan None Verifies each scan register can reliably capture.
Capture clock and scan signals are cut points on SSH that testbench
pulses.
For retargeted scan patterns, can verify top-level clocking to lower-level
cores.
OCCompare None Verifies no stuck-at faults exist in SSH on-chip compare logic.
self-test
SSH loopback None Verifies SSN network can reliably deliver packetized data (excluding path to
EDT).
All SSN nodes are programmed with ssn_setup procedure as though full
pattern is to be run.
Serial chain None Delivers packetized data to all SSH and verifies all chains shift without error.
Saves only nonmasking patterns.
The bus_clock in the SSH generates scan signals.
Runs off bus_clock.
Serial scan None Delivers packetized data to all SSH and verifies all chains shift and capture
without error. SSN end-to-end test.
The bus_clock in the SSH generates scan signals.
Runs off bus_clock.
Because of tool default values, the preceding command is a shortened form of this one:
write_patterns chip.stil -test_setup one_per_file -ssn_setup one_per_file -test_end one -stil \
-replace
Note:
If on-chip compare (OCComp) is turned off, the tool automatically removes the ssn_end
procedure at the end of the payload.
CAUTION:
When you write out the test_setup, ssn_setup, ssn_end, test_end, and test_payload separately,
you are responsible for ensuring that the patterns are applied to the tester in the correct order. If
they are not, the tester produces mismatches on the SSN bus output.
Follow these rules when you write the procedures of SSN patterns to separate files:
• Each write_patterns command must use the same pattern parameters (such as -begin/‑end and
‑pattern_sets).
• The individual procedures must be applied in the correct order on the tester.
• No additional clock cycles (including TCK) or pin constraints should be applied to the DUT before
or after applying the different pattern files.
Tip
SSN patterns are natively written to a single pattern file. Use write_patterns command options to
control whether it excludes a procedure when it writes the pattern files
Tip
When you write the SSN payload out separately and use the ‑max_loads option, ensure the
number of loads is greater than the number of cycles of ssn_setup and ssn_end.
Tip
The constraint "timeplate_constraints same_period" applies to SSN patterns when you
write the JTAG procedures and payload to the same pattern file. When you write them into
separate pattern files, the tool uses "timeplate_constraints none". Writing JTAG and payload
procedures into separate files provides the most efficient use of tester memory. Refer to the
set_tester_options command for more information about ‑timeplate_constraints.
Example 38 shows how to optionally write some of the procedures of the SSN pattern to separate pattern
files. It writes the test_setup, ssn_setup, and test_end procedures separately from the SSH loopback and
payload patterns. By writing out test_setup, ssn_setup, and test_end separately, you can apply numerous
payload patterns without the penalty of applying slow TCK procedures more than once.
Separate ssn_setup patterns are required for SSH loopback, chain payload, and scan payload data to
ensure the SSH programming matches the payload.
The write_patterns commands in this example demonstrate how to write the payload and JTAG
procedures separately. When you write these procedures separately, the tck_ratio in the pattern is one
and the tool can maximize the compression for ATE memory.
Figure 136 illustrates the correct order in which to apply the pattern files. The test_setup procedure is
your custom chip setup and is identical to what you would use without SSN. The ssn_setup procedure
prepares the SSN by configuring the ScanHost nodes through the programming in the embedded IJTAG
registers. Each pattern type requires its own ssn_setup procedure, because the JTAG programming may
differ between them. For example, the throttling (that is, bits per packet) for SSH loopback and chain
test patterns may be different. The payload pattern files are applied after the ssn_setup procedure. The
ssn_end procedure is applied after the payload and prepares the network for the next pattern file when
you use the ‑max_loads argument. When on-chip compare is active, the ssn_end procedures shit out
the on-chip compare sticky status bits, which are visible on the TDO. The test_end procedure is the last
procedure of the pattern set and reconfigures the IJTAG network to a state that is equivalent to the post-
iReset state.
Note:
The payload patterns can be applied in any order as long as the ssn_end procedure is applied
after each payload pattern file.
Figure 136. SSN Pattern Files With Order for Application on Tester
Example 39. SSN Pattern Files With Combined Single test_setup and ssn_setup
When you write SSN patterns with the ‑all_setup_only switch, it produces a combined setup STIL file that
is separate from the test_payload and SSN and test end procedures, as in the following figure.
Figure 137. SSN Pattern Files With Combined Setup Showing Tester Order
Note:
You cannot combine the ‑all_end_only switch with the ‑max_loads option, because the ssn_end
procedure must be applied after each payload pattern file, as shown in Figure 136 on page 564
and Figure 137.
Example 40. Writing Each Procedure of the SSN Pattern to Individual Files
The JTAG and payload patterns in this example must be applied on the tester in the correct order to avoid
mismatches on the SSN bus output. The SSN data stream depends on the order of how the patterns are
applied on the tester.
This example extends the idea of the example in the section “How to Write JTAG and Payload
Procedures Separately” on page 561 by writing the on-chip compare self-test. As described previously,
the on-chip compare self-test verifies the on-chip compare logic in the SSH. The on-chip compare self-
test should be applied before any payload patterns when you have enabled on-chip compare.
The on-chip compare self test uses the SSN bus for the following:
• To deliver the stimulus used to test the on-chip compare hardware for stuck-at faults.
Note:
Streaming-Through-IJTAG patterns can only be applied when you have selected the streaming
interface using the set_ssn_options command.
While Streaming-Through-IJTAG patterns are being applied, the TAP finite-state machine is put into the
Shift-DR state while data is being delivered to the network through TDI. The SSH functional behavior
during Streaming-Through-IJTAG is the same as when you use the parallel bus. The only difference is the
delivery of streaming scan data to the network as a single bit through TDI.
Note:
Any SSN pattern created using the parallel bus can be retargeted through the top-level TAP
controller and converted to a Streaming-Through-IJTAG pattern.
Note:
Writing the on-chip compare hardware self-test pattern is not compatible with Streaming-Through-
IJTAG mode.
• ICLNetwork Verify Patterns — Confirms the IJTAG registers of the SSN can be accessed.
• Continuity Patterns — Confirms the parallel bus is connected to each SSN node and each
branch of SSN multiplexer is accessible from bus_in to bus_out.
• SSH Loopback Pattern — Confirms the network can reliably deliver packetized data to the
active SSHs without involving the EDT/scan chains.
• On-Chip Compare Self-Test Pattern — Confirms there are no stuck-at faults in the on-chip
compare logic, including the sticky bit status registers.
The examples in the following sections show how to create and apply these patterns on the tester. This
flow diagram indicates the order in which to apply them and incrementally verify the functional behavior of
the SSN:
Figure 140. Order To Apply Patterns for SSN Pattern Failure Debugging
Continuity Patterns
The following example shows the commands to write SSN continuity patterns for application on the tester.
These patterns verify that the parallel bus is connected to each SSN node without any bit swizzles or
opens and that each branch of an SSN multiplexer is accessible from bus_data_in to bus_data_out.
A passing SSN continuity pattern indicates the parallel bus in the design has no opens or gaps. To
determine the order of application for SSN continuity patterns, refer to Figure 142.
Note:
Each of the SSN multiplexers along the parallel bus is configured individually with an IJTAG iProc
followed by an iCall to that procedure. Refer to the script in the section “Second DFT Insertion
Pass: Inserting Top-Level EDT, OCC, and SSN” on page 511 for a working example of how the
iCall reconfigures the SSN multiplexers.
Note:
The tool does not support SVF output for SSN continuity patterns.
Note:
The ssn_end procedure is an empty procedure when it is written and the pattern set is
ssn_continuity.
In this example, the SSH loopback patterns test the SSH without involving the EDT and scan chains. The
SSH loopback pattern uses the ssn_setup procedure to configure the SSH based on the payload of the
active cores. During the SSH loopback pattern, the streaming interface (bus or IJTAG) delivers packetized
data to each active SSH that is programmed to match the payload. The ssn_end procedure is applied to
the network after each SSH loopback pattern. When you combine SSH loopback patterns and payload
patterns, you must place the SSH loopback patterns before the payload patterns, because the SSH
loopback patterns confirm that the network can reliably deliver packetized data, as described previously.
The following example shows the commands to write the chain and scan patterns with the loopback
pattern for application on the tester. By extending the previous example to include the chain and scan
patterns, you can further narrow the scope of failing patterns on silicon. To determine the order of
application for SSH loopback, chain, and scan patterns on the tester, refer to Figure 145.
Example 46. Writing SSH Loopback, Chain, and Scan Patterns to Individual Files
Figure 145. Order To Apply SSH Loopback, Chain, and Scan Patterns
Note:
The process of creating signoff patterns is different from creating manufacturing patterns. For a
description of manufacturing patterns with SSN, refer to the section “Manufacturing Patterns With
SSN” on page 557.
The following sections describe the unique behavior of each type of signoff pattern when written with SSN
present. The signoff pattern guidelines in these sections minimizes the risk of problems occurring in your
post-layout netlists. For each test, the section does the following:
• Describes the behavior of the SSH when writing patterns at the block level, during top-level
ATPG, and during pattern retargeting.
You should create signoff patterns without any ATPG pattern limits (for example, by specifying
"set_atpg_limits ‑pattern_count off"). The methods for writing the patterns are described in the following
sections. For more examples of writing patterns, refer to “Tessent SSN Workflows” on page 484. Create
patterns using both the stuck-at and transition fault models. Simulate the patterns in the following order,
which incrementally verifies the SSN network:
1. Parallel Scan
2. SSH Loopback
3. Serial Chain
4. Serial Scan
Each pattern uses the previously validated step. You should create and simulate these patterns until they
are error-free before signoff, regardless of whether you choose parallel bus or IJTAG streaming for SSN.
Note:
You should create signoff patterns only after you have successfully simulated the ICL Network
Verify and SSN Continuity patterns without any errors.
Note:
Verification of the SSN at intermediate levels of hierarchy in your design is necessary prior to top-
level ATPG or pattern retargeting when you have assembled the datapath by hand or using a
script.
Post-synthesis X X
validation
(non‑scan)
• Passing child core scan patterns, as described in “Block-Level Signoff Patterns” on page 577
• Parallel pattern during top-level ATPG with child cores — During ATPG, the top-level scan
chains and the wrapper scan chains of each child core are active. This pattern tests the intra-
domain capture between the top level and the child cores. The active SSHs in the parallel pattern
are capture-aligned. You should write the parallel pattern without a pattern count limit.
• Parallel pattern during pattern retargeting — Normally, during scan pattern retargeting
with SSN, each core transitions between shift and capture independently. However, a Verilog
____________________________________________________________________________________
6. Includes verification of the streaming-through-IJTAG SSH path
7. SSN integration at RTL, gate level, or both
580 Tessent™ Shell User’s Manual
Streaming Scan Network (SSN)
Top-Level Signoff Patterns
testbench cannot accurately model this behavior for the cores. Therefore, in this testbench the
active cores appear to be capture-aligned, although this is not the way that the implemented
design behaves. Use this pattern to verify the clocking during pattern retargeting.
For a description of parallel scan pattern behavior and an example of how to write the pattern, refer to the
section Parallel Scan Patterns in the topic “Block-Level Signoff Patterns” on page 577.
• Serial chain pattern during top-level ATPG with child cores — During ATPG, this pattern
delivers packetized scan shift data to the top-level SSH and to the SSH of each child core. The
child cores are in external mode, and the local SSH to those child cores shifts their wrapper
chains. During simulation of this pattern, the capture clock is suppressed and the top-level SSH
and child core SSHs are capture-aligned.
• Serial chain pattern during pattern retargeting — During simulation of this pattern, the tool
creates the packet from each core whose patterns are being retargeted. Each core operates
independently of the others, receiving a different number of bits per packet based on data
throttling. By default, the tool optimizes throttling for pattern retargeting based on all scan patterns
if scan patterns are present, and all chain patterns if scan patterns are not present. Refer to the
description of the -throttle_based_on_selected_patterns argument in set_ssn_options for more
details.
For a description of serial chain pattern behavior and an example of how to write the pattern, refer to the
section Serial Chain Patterns in the topic “Block-Level Signoff Patterns” on page 577.
• Serial scan pattern during top-level ATPG with child cores — During ATPG, this pattern
delivers packetized scan data to the top-level SSH and to the SSH of each child core. The child
cores are in external mode, and the local SSH to those child cores shifts their wrapper chains.
During simulation of this pattern, the scan chains shift and capture, and the top-level SSH and
child core SSHs are capture-aligned.
• Serial scan pattern during pattern retargeting — During simulation of this pattern, the tool
creates the packet from each core whose patterns are being retargeted. Each core operates
independently of the others, receiving a different number of bits per packet based on data
throttling. By default, the tool optimizes throttling for pattern retargeting based on all scan patterns
if scan patterns are present, and all chain patterns if scan patterns are not present. Refer to the
description of the -throttle_based_on_selected_patterns argument in set_ssn_options for more
details.
For a description of serial chain pattern behavior and an example of how to write the pattern, refer to the
section Serial Scan Patterns in the topic “Block-Level Signoff Patterns” on page 577.
Post-synthesis X X
validation
(non‑scan)
____________________________________________________________________________________
8. Includes verification of streaming-through-IJTAG
9. SSN integration at RTL, gate level, or both
582 Tessent™ Shell User’s Manual
Streaming Scan Network (SSN)
Signoff Pattern Quick Reference
Pattern
Pattern Type Limits Description
ICLNetwork None Verifies ICL instruments (tool and user) are readable and writable along
verify the IJTAG serial path.
Verifies the full scope of the IJTAG network, including
streaming-through-IJTAG path.
Runs at TCK period.
Continuity None Verifies SSN bus integrity between bus data_in and data_out.
Runs at bus_clock period.
Parallel scan None Verifies each scan register can reliably capture.
Capture clock and scan signals are cut points on SSH that testbench
pulses.
For retargeted scan patterns, can verify top-level clocking to lower-level
cores.
SSH loopback None Verifies SSN network can reliably deliver packetized data (excluding path
to EDT).
All SSN nodes are programmed with ssn_setup procedure as though full
pattern is to be run.
Runs at bus_clock period.
Serial chain 1 Delivers packetized data to all SSH and verifies all chains shift without
error. Saves only nonmasking patterns.
____________________________________________________________________________________
8. Includes verification of streaming-through-IJTAG
10. SSN retargeting parallel testbench only verifies clocking during scan pattern retargeting
Tessent™ Shell User’s Manual 583
Streaming Scan Network (SSN)
Troubleshooting Miscompares of X Values in Signoff Patterns
Pattern
Pattern Type Limits Description
Serial scan 1 Delivers packetized data to all SSH and verifies all chains shift and
capture without error. SSN end-to-end test.
bus_clock in the SSH generates scan signals.
Runs off bus_clock.
Symptoms
In certain rare circumstances, serial pattern simulation may report miscompares involving X values in
cases where the parallel patterns pass and parallel scan cell monitoring does not identify the root cause.
The source of the X value may be from a floating pin or an analog block that Verilog signoff simulation
does not simulate and that later propagates to the ScanHost logic.
Causes
This may be related to convergence generated by synthesis optimization, which can lead to X pessimism
during serial SSN pattern simulation.
Solution
Siemens EDA does not recommend ungrouping the SSN logic outside SSN modules, which may hamper
the debugging process. Use the following steps to debug these miscompares:
2. Change the ScanHost module view to RTL and verify that the patterns pass.
3. Force the original X source (the floating pin or analog output) to 1 and 0 values to confirm that
both values work correctly.
If all three steps are successful, then the design and the patterns are good despite the miscompare,
which results only from simulation. The patterns can be successfully verified on an ATE and on the design
silicon.
Related Topics
SIM_PARALLEL_MONITOR [Tessent Shell Reference Manual]
• Declare the SSN bus clocks and define the timing of the SSN bus ports.
• Relax paths across node multipliers and node dividers with MCP constraints.
• Accurately time the SSH scan interface circuits, which includes creating the scan clocks and
adding timing exceptions for the scan control signals and serial paths.
• Provide a way to time the SSN/SSH logic of the lower-level physical blocks.
• Properly time SSN nodes that can only stream through IJTAG, with no SSN bus clock.
• Provide ways to disable the SSN logic if needed, and prevent other mode clocks, such as
ijtag_tck, from propagating to the scan flops.
For more information about constraints, refer to the section “SSN/SSH SDC Constraint Descriptions” on
page 594.
The chapter “Timing Constraints (SDC)” on page 935 describes the flow usage of the standard logictest
Tcl procs. The addition of SSN constraints adds only minor changes to the usage of these procs. You still
use the following design flow:
2. In layouts, sequentially invoke non_modal SDC constraints followed by some modal logictest
SDC procs.
3. In post-layout STA, time your different logictest modes one at a time, calling the provided
"*ltest_modal*" procs for each mode.
The following sections provide more information about SDC procs with SSN:
Note:
When you specify the SSH scan_signals_bypass, all modified logictest proc constraints cover
both the SSN mode and the bypass mode timing paths at the same time, so there is no need to
separate your bypass-mode STA from your SSN-mode STA runs.
Summary of New and Modified Tcl Procs for SSN Timing Support
<prefix> :== tessent_set
• Creates clock groups between SSN clocks, functional clocks, and other DFT clocks
• The SDC file equivalent of the command of the same name in Tessent Shell
For usage information, refer to the set_load_unload_timing_options command reference page.
• Sets global Tcl timing variable values, which are used in SSN constraints
Modified Preexisting Procs
<prefix>_ltest_create_clocks
• Creates SSN bus clocks on top-level ports and generated clocks within the SSH logic
<prefix>_ltest_non_modal
◦ Creates one slow generated clock at the slow_clock input pin of each OCC.
◦ Uses these per-OCC clocks in set_multicycle_path commands to relax the hold of cross-
domain capture paths in slow capture mode. This enables checking the timing of the
scan_enable signal, along with some other paths within the OCCs, while avoiding false hold
timing violations on interdomain paths.
◦ Reads a global Tcl dictionary variable that contains per-OCC generated clock target pins and
identifies the SSH instance in the fan-in for these pins. You can create this dictionary yourself
or extend an existing one if you use custom OCC module instances.
<prefix>_ltest_modal_edt_fast_capture
Note:
This proc still permits ts_tck_tck to propagate to the SSH logic so it can properly time the
serial scan path to and from the IJTAG network. It uses the assumption that timing paths
between the SSH IJTAG registers and the SSH logic always meet a single-cycle path of
ts_tck_tck, with no excessive stress to the quality of results (QoR) for the layout. Clock tree
synthesis can balance both branches of ts_tck_tck within the SSH logic.
• <prefix>_ltest_ssn_with_sub_PBs
• <prefix>_ltest_create_clocks_with_sub_PBs
• <prefix>_ltest_modal_shift_with_sub_PBs
• <prefix>_ltest_modal_edt_slow_capture_with_sub_PBs
• <prefix>_ltest_modal_edt_fast_capture_with_sub_PBs
6. Call the "set_load_unload_timing_options ‑usage ssn" proc with the proper option values.
This redefines the default SSH global Tcl timing variables.
8. Add synthesis control commands and run synthesis according to the process in the Timing
Constraints (SDC) chapter.
Note:
The <prefix>_non_modal proc declares all SSN bus clocks and SSH-generated scan clocks and
propagates them alongside your functional clocks to all your scannable domains. Such clocks
may expose some invalid shift_capture_clock (SCC)-speed capture paths between otherwise
asynchronous functional domains. Because synthesis usually runs with ideal clocks, these
bogus timing paths are unlikely to fail hold timing; however, it is possible that they could still fail
setup timing if your specified scan frequency is too high. Such a proc is also unusable as-is in
layout without major modification, as described in the subsection "Single-Mode vs Dual-Mode
Constraining in Synthesis/Layout" in the section “LOGICTEST Instruments” on page 956.
• Clock tree synthesis (CTS) has balanced the entire SSH shift_capture_clock source fanout, along
with the same SSH edt_clock source fanout, so that there are no hold issues on same-edge
cross-domain paths.
• All cross-domain paths can still meet setup timing in one SCC cycle. Capture clock waveforms
are assumed to be the same as those of the shift clock.
In this case, you only need to run the <prefix>_modal_edt_slow_capture proc, which then covers both
the shift paths and capture paths in addition to properly timing the scan_enable signal with the specified
number of setup and hold cycles.
For designs with SSN, the <prefix>_ltest_modal_edt_slow_capture proc includes the possibility of
defining one set of generated clocks per OCC in the fanout of each SSH. This results in relaxed hold for
all capture between different OCCs. This also relaxes paths between SIBs or Bscan to or from other OCC
domains. Turn on this feature by defining the following global Tcl variable in your top-level calling script:
set tessent_relax_xdomain_capture_paths 1
Setting this variable means the tool supports hardened OCCs and all-design-level STA. Each generated
clock is defined at the OCC slow_clock input pin, to permit a possible shift_capture_clock from the parent
level to go through the fast_clock pin in multi-level designs. If the OCC persistent slow_clock buffer is
visible in the netlist, the multicycle path constraint is defined on its input pin.
You can modify each generated clock OCC pin by editing a global OCC dictionary, but support for full
custom OCC is not available.
If you do not define this variable, you can also choose from the following options:
• Not relaxing any path—this means closing stuck-at slow capture timing, for setup and hold,
across those generated clocks coming from the OCC
• (default) Applying a global same-edge multicycle path ‑hold to the SSH shift_capture_clocks
Limitation for bypass mode constraints: When the SSH bypass is present, the bypass mode scan_en
and edt_update input ports have no MCP declaration, unlike the SSH local scan_en signal sources,
which MCP constraints relax based on timing specifications from the set_load_unload_timing_options
command. For more details, refer to the section “SSN/SSH SDC Constraint Descriptions” on page 594.
Furthermore, the bypass mode test clock frequency is assumed to be the same as the SSH test clock
frequency, which is unlikely to be the case. If you intend to run the SSH-bypass scan at a slower
frequency than the SSH-based scan, you must override the SSH-bypass test_clock definition in your main
script after calling the procs listed previously. You may also need to add your own MCP declarations for
both scan_en and edt_update top-level ports, as in the following example:
<prefix>_modal_edt_slow_capture
create_clock –period <bypass test_clock period> \
[get_ports test_clock port]
Set_multicycle_path 2 –setup –from [get_ports <scan_enable port>]
Set_multicycle_path 2 –hold –from [get_ports <scan_enable port>]
Set_multicycle_path 2 –setup –from [get_ports <edt_update port>]
Set_multicycle_path 2 –hold –from [get_ports <edt_update port>]
Note:
As with SSN/SDC Constraints for Layout, if your design meets the configuration conditions
described in the note in that section, you can run only the <prefix>_modal_edt_slow_capture proc
to cover both the shift and edt_slow_capture modes simultaneously.
• <prefix>_ltest_modal_shift_with_sub_PBs
• <prefix>_ltest_modal_edt_slow_capture_with_sub_PBs
• <prefix>_ltest_modal_edt_fast_capture_with_sub_PBs
These procs include retargeted SDC constraints for all SSN logic inside all of your individual child PBs.
Tessent-generated graybox models include all SSN logic. Without them, the parent SSN bus clock would
enter through the block’s SSN bus pins and propagate directly to the block’s scannable logic. This, in
turn, would overconstrain the child SSH-generated DFT signals. The following is an example of such a
retargeted constraint:
When you run top-level logictest STA with isolated lower-level PBs, your calling script must also call the
proc <prefix>_ltest_lower_pbs_external_mode. This takes care of constraining the DFT signal and the
OCC logic of the lower PBs. For example, to set the shift STA mode, you must run the following command
sequence:
tessent_set_default_variables
set_load_unload_timing_options <list of timing options>
# (or source the file containing this command)
<prefix>_ltest_modal_shift_with_sub_PBs
<prefix>_lower_pbs_external_mode
Note:
Using *_with_sub_PBs procs is subject to the following limitations:
• These procs create retargeted SSN/SSH SDC constraints for all instances of SSN/SSH
modules in the child PBs; however, all PBs end up using the same set of timing parameter
variables (such as the bus clock, the shift clock frequency, and the number of scan_en/
edt_update extra setup/hold cycles) as the level of the current design. Child PBs may have
been designed and laid out with different parameter sets, with potentially faster internal
shift clocks than their parents. If this applies to any of your PBs, you must manually fix their
associated constraints in your extract_sdc file.
• SSN/SSH constraints are declared for all PB instances, so you must load all of those instances
to avoid errors in reading constraints.
• You cannot set child PBs to internal ltest mode only using the provided procs in the SDC file.
If you need to do this, you can copy your <prefix>_lower_pbs_external_mode proc, change its
name, and manually change the settings of the int_ltest_en and ext_ltest_en signals to put all
child PBs into internal mode.
global tessent_ssn_bus_clock_network_period
global time_unit_multiplier
set local_ssn_bus_clock_network_period \
[expr $tessent_ssn_bus_clock_network_period * $time_unit_multiplier]
create_clock <port> -name tessent_ssn_bus_clock_network \
-period $local_ssn_bus_clock_network_period –add
The option values corresponds to the maximum possible SSN network speed across your
entire design, even if your current level test patterns do not require that speed. Refer to the
set_load_unload_timing_options command reference page for more information on using it in the SSN
reference flow.
Note:
If your SSN scan host implementation uses only Streaming-Through-IJTAG, your generated SDC
file does not contain this declaration. For more information about Streaming-Through-IJTAG, refer
to “Streaming-Through-IJTAG Scan Data” on page 535.
• Force SSN bus data primary inputs at 0% of the tester period or 50% of the bus_clock period.
Refer to the following discussion for further explanation.
• Measure SSN bus data primary outputs at 0 ns into the next tester period.
This translates to an external delay of zero for both directions.
The first node of an SSN bus might capture its input data at every rising edge of the bus clock or on the
first phase of a multi-phase node. This is the case for nodes of type Pipeline, ScanHost, or Multiplexer, or
one of BusFrequencyMultiplier with its capture_phase property set to "transmitter". For such nodes, the
following is true:
• If the current design level is chip, the SSN patterns force the bus inputs at 50% of the bus clock
period inside the tester period, thus giving a half bus_clock_period margin for both setup and
hold.
• Otherwise, the patterns assume a synchronous data path across the block boundaries and force
the bus inputs at 0% of the tester period.
For all other node types, SSN patterns force the bus inputs at 0% of the tester period.
The 0% output delay permits a full bus clock period to the setup margin of the bus data out loop timing
path, as shown in the figure "Schematic Showing Loop Timing Path for Bus Data Out" in the section
"BusFrequencyDivider" in the Tessent Shell Reference Manual.
The input/output delay constraints include an "‑add_delay" option that enables them to share their primary
pin with functional signals when you apply the non-modal constraints.
The following example illustrates the input/output delay constraints:
At the chip level, the tester directly feeds input/outputs. Therefore, input/output pin delays are based on
the actual pattern waveforms, and no adjustments or virtual clocks are necessary. For a chip, constraints
look like the following:
For a block, in order to account for pre- and post-layout designs with varying ssn_bus_clock latency and
in order to facilitate timing path budgeting, the proc declares a virtual clock and set_input/output_delay
constraints use global user-modifiable Tcl variables, such as in the following example:
proc xxx_ltest_set_timing_variables_default:
proc xxx_ltest_ssn:
set local_ssn_bus_clock_network_period \
[expr $tessent_ssn_bus_clock_network_period * $time_unit_multiplier]
set local_ssn_bus_input_delay
[expr $tessent_ssn_bus_input_delay_percentage/100. * \
$local_ssn_bus_clock_network_period]
set local_ssn_bus_output_delay \
[expr $tessent_ssn_bus_output_delay_percentage/100. * \
$local_ssn_bus_clock_network_period]
Note:
To accurately reflect the generated test patterns, the following occurs at the chip level:
• If the first SSN datapath node is a receiver_1x_pipeline (which captures at the falling edge of
the ssn_bus_clock), the preceding input delay is set to 0.0 ns.
• If the first node is anything else (that is, captures at the rising edge of the ssn_bus_clock), the
input delay is set to 0.5 × ssn_bus_clock_period.
For a core, the input delay is always set to 0.0 + <an external delay timing budget>, assuming the
following:
The driving node exists inside the chip, either in the parent design or in another core.
SSN source nodes always toggle their output data on the ssn_bus_clock posedge, and full-speed data
paths likely need balanced source/destination clocks.
If the datapath crosses a non-balanced clock, it does so through an SSN divider/multiplier combination.
This combination is less sensitive to these input/output delay constraints, because it relaxes with
additional multicycle path (MCP) constraints.
# -----------------------------------------------------------------------
# From bus_frequency_divider (phase 1 4) to bus_frequency_multiplier
# (phase 3 4)
set_multicycle_path -setup 2 -start \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_cells <multiplier node instance>/datapath/r0*]
set_multicycle_path -hold 3 -start \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_cells <multiplier node instance>/datapath/r0*]
A bus datapath between the previously referenced (1 4) divider node and a (1 4) output pipeline node
features timing margins of four cycles of setup and zero cycles of hold, thus requiring balanced source
and destination clocks:
# -----------------------------------------------------------------------
# From bus_frequency_divider (phase 1 4) to output_pipeline (phase 1 4)
set_multicycle_path -setup 4 -start \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_cells <multiplier node instance>/datapath/r0*]
set_multicycle_path -hold 3 -start \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_cells <multiplier node instance>/datapath/r0*]
Datapaths from SSN bus output ports of a (1 4) OutputPipeline node also feature four cycles of setup
margin, as shown in the figure "Output Data Slow Down Using BusFrequencyDivider Node" in the section
"BusFrequencyDivider" in the Tessent Shell Reference Manual, because the test patterns capture the bus
data at the rising edge of Phase 1, leaving all four bus cycles for data to close its output timing loop:
# -----------------------------------------------------------------------
# From output_pipeline (phase 1 4) to output bus ports
set_multicycle_path -setup 4 -start \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_ports <list of output bus ports>]
set_multicycle_path -hold 3 -start \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_ports <list of output bus ports>]
More subtle MCP constraints are required when handling datapath sections that run across bus clocks
that operate at frequencies that are ratios of each other. This could result in, for example, a (1 2) divider
fanning out to a (3 4) multiplier, which implies that the destination multiplier is clocked at double the
frequency of the source divider. In such cases, the MCP constraint always sets its setup and hold number
of cycles relative to the faster of the two clocks, using either the ‑start or the ‑end option:
# -----------------------------------------------------------------------
# From bus_frequency_divider (phase 1 2) to bus_frequency_multiplier
# (phase 3 4)
set_multicycle_path -setup 2 -end \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_ports <multiplier node instance>/datapath/r0*]
set_multicycle_path -hold 2 -end \
-from [tessent_get_cells <divider node instance>/datapath/r1*] \
-to [tessent_get_ports <multiplier node instance>/datapath/r0*]
Input and output pins of child physical blocks may also include phases if they internally connect to either
SSN multiplier or divider nodes. These phases are reported in the module description of their .icl file.
Because the internal cells of a physical block are not normally visible to the parent SDC, you must set
MCP constraints using the ‑through switch with the PB boundary pins, as in the following example:
# -----------------------------------------------------------------------
# From PB output pins (phase 1 2) to PB input pins (phase 0.5 1)
set_multicycle_path -setup 1 -start \
-through [tessent_get_pins <PB1 list of bus data output pins> \
-through [tessent_get_pins <PB2 list of bus data input pins>]
set_multicycle_path -setup 1 -start \
-through [tessent_get_pins <PB1 list of bus data output pins> \
-through [tessent_get_pins <PB2 list of bus data input pins>]
The extract_sdc command itself actively traces the .icl files of the design to find which actual SSN node
is connected to which other SSN nodes and whether phase specifications are involved. Such tracing
enables handling of bus networks with multiplexer nodes and connections between partial lists of SSN
bus ports or subphysical block pins, which can further complicate the list of MCPs. For the sake of clarity
and self-documentation, the SDC file reports the traced list of connections under a comment banner
labeled "SSN list of node connections." This banner shows all connections, including those not involving
clock phases, as in the following example:
#----------------------------------------------------------------------
# SSN list of node connections
# output_pipeline 'top_rtl2_tessent_ssn_out_pipe_out_inst'
# --> SSN Bus Data Outputs
# bus_frequency_divider 'top_rtl2_tessent_ssn_bus_freq_div_1_inst'
# --> output_pipeline 'top_rtl2_tessent_ssn_out_pipe_out_inst'
Datapath instances retimed using a trailing edge (TE) register at their inputs have a multicycle path timing
exception from the reset register that controls the datapath registers to the TE retiming registers. This
includes the Receiver1XPipeline, and it also includes the FIFO and BusFrequencyDivider instances if
their datapaths are retimed with TE registers. The input data for these instances is held at zero during
reset.
# Reset of the TE datapath retiming register can have a setup MCP of 2 because
# the initial data in this register is flushed and not observed during test.
# The data input of the registers is also zero during reset.
set_multicycle_path -setup 2 \
-from [tessent_get_cells \
top_tessent_ssn_receiver_1x_pipe_in_inst/fsm/bus_sync_reset_ff*] \
-to [tessent_get_cells \
top_tessent_ssn_receiver_1x_pipe_in_inst/datapath/r0_n*]
set_multicycle_path -hold 1 \
-from [tessent_get_cells \
top_tessent_ssn_receiver_1x_pipe_in_inst/fsm/bus_sync_reset_ff*] \
-to [tessent_get_cells \
top_tessent_ssn_receiver_1x_pipe_in_inst/datapath/r0_n*]
• frequency_ratio
• in_clock_to_out_clock
• in_clock_to_out_clock_skew_programmable
You can think of the last two properties as defining a "deskewing" mode.
Data output from the FIFO input register remains stable for a number of clock cycles equal to the value
of the FIFO frequency_ratio property. The FIFO output register captures this data either in the middle of
these cycles (when in_clock_to_out_clock_skew is early_or_delayed) or at the end of these cycles (when
in_clock_to_out_clock_skew is delayed_only). Refer to the figures "Waveform for FIFO early_or_delayed
Configuration" and "Waveform for FIFO delayed_only Configuration" in the "Fifo" reference page in
the Tessent Shell Reference Manual for examples of these capture cycles. Because of this, both of
your SDC FIFO multicycle path (MCP) constraint ‑setup and ‑hold parameters depend on the FIFO
frequency_ratio and in_clock_to_out_clock_skew parameters. You can adjust them at run time if the
in_clock_to_out_clock_skew_programmable parameter is on.
In the SDC, the setup and hold calculations are done explicitly using intermediate Tcl variables.
If you set in_clock_to_out_clock_skew_programmable to "on", the SDC enables you to dynamically select
the deskewing mode just before applying the SDC in the timing tool. For each such FIFO instance in your
design, select the deskewing mode by defining the Tcl variable tessent_ssn_fifo_deskew_setting(sfifo<n>)
in your primary script. This variable name is mapped; refer to the primary calling script in the Examples
section in this topic to understand how to use these variables. The in_clock_to_out_clock_skew property
determines the default deskew mode when you do not set these variables.
The following figure illustrates how the MCP is applied in a FIFO.
Examples
Example of FIFO Settings in Your Primary Calling Script
The following example shows how to use Tcl variables in the primary calling script to set up the FIFO and
control programmable skew settings:
tessent_set_default_variables
tessent_ssn_fifo_deskew_setting(sfifo0) delayed_only
tessent_ssn_fifo_deskew_setting(sfifo1) early_or_delayed
tessent_ssn_fifo_deskew_setting(sfifo2) delayed_only
tessent_set_non_modal
# this proc calls the tessent_set_ltest_ssn proc, which appears in the
# next example section
global tessent_ssn_fifo_deskew_setting
array set sfifo_setup_multiplier {delayed_only 1 early_or_delayed 0.5}
# sfifo0:
# frequency_ratio : 4
# in_clock_to_out_clock_skew: delayed_only
# in_clock_to_out_clock_skew_programmable: on
set deskew_setting delayed_only
set frequency_ratio 4
set_multicycle_path \
-setup [expr int($frequency_ratio* $sfifo_setup_multiplier($deskew_setting))] \
-from [tessent_get_cells $tessent_sfifo_mapping(sfifo0)/datapath/fifo_reg*] \
-to [tessent_get_cells $tessent_sfifo_mapping(sfifo0)/datapath/bus_data_out*]
set_multicycle_path \
-hold [expr $frequency_ratio - 1] \
-from [tessent_get_cells $tessent_sfifo_mapping(sfifo0)/datapath/fifo_reg*] \
-to [tessent_get_cells $tessent_sfifo_mapping(sfifo0)/datapath/bus_data_out*]
Note:
The preceding constraints repeat for sfifo1 and sfifo2, but with variations for the actual MCP
constraints that depend on the DftSpecification property settings for these FIFOs.
Note:
The section in bold in the previous example is present only when programmable skew is enabled;
that is, in_clock_to_out_clock_skew_programmable is set to "on".
Call the set_load_unload_timing_options command to configure the SDC clock and control signal
timing parameters, and to configure pattern generation so that the parameters of the generated
patterns are consistent with those used during timing closure and analysis. The following table lists
set_load_unload_timing_options arguments that define Tcl variables used in SDC. If your primary timing
script does not explicitly set these options, then the tool uses the default values from the table.
‑scan_en_setup_extra_cycles tessent_scan_en_setup_extra_cycles 1
‑scan_en_hold_extra_cycles tessent_scan_en_hold_extra_cycles 1
‑edt_update_setup_extra_cycles tessent_edt_update_setup_extra_cycles 1
‑edt_update_hold_extra_cycles tessent_edt_update_hold_extra_cycles 1
The first SSN bus clock is called ssn_bus_clock_network (red dot in Figure 147). It times the SSN
network operation at its maximum possible datapath speed, whether or not the ScanHost node is active.
When the ScanHost node is active, the SSN bus clock pin generates the shift_capture_clock and the
edt_clock used to operate the scan circuitry. One scan bit per chain gets shifted in per SSN packet. If the
SSN packet is small enough to occupy less than two bus clock cycles on the network, the scan clocks
can be generated from the SSN bus_clock using a clock gater. The test patterns then adjust the SSN
bus clock frequency to match the required shift_clock_period. However, when the SSN packet is large
enough to occupy a minimum of N bus clock cycles on the network, a faster frequency clock is applied to
the ssn_bus_clock pin and is divided to generate the shift clocks using a clock divider flop, maintaining
as much as possible a fifty-percent duty cycle. Because these two clock paths differ slightly, both must
Note:
In practice, the divided clock frequency ratio might often exceed 2, because that value depends
on the size of the SSN bus packet. The packet size can be quite large if many SSHs are driven in
parallel or if the number of EDT channels greatly exceeds the SSN bus width.
Even more clock declarations are required if the SSH supports the optional scan bypass
mode. These clocks are represented by the brown dots in Figure 147. Both the *edt_clock and
*ssh_shift_capture_clock are divided_by 1 versions of the test_clock. For simplification of the constraints,
the generated SDC file assumes a test_clock period that always matches the value specified by the
"set_load_unload_timing_options ‑shift_clock_period" command, even though the latter value ideally
applies only to the SSN scan mode and not the bypass mode.
The preceding clocks belong to clock groups that correspond to their dot colors in Figure 147. Red,
blue, green, and brown clocks never run at the same time. Therefore, the SDC declares them as
‑physically_exclusive. All such clocks are also asynchronous to all functional domain clocks, because both
clock types may interact at the same time on the pre-OCC branches during scan. The following is an
excerpt from a sample design:
The SSH generates the edt_update signal with a negedge flop (brown dot 3) when
bus_clock_period = scan_clock_period to provide negedge retiming to its destination EDT controller’s
posedge flops. The posedge flops run off the late edt_clock relative to the bus_clock. When edt_clock is
divided, on the other hand, a posedge source flop (brown dot 2) can properly toggle the edt_update signal
0.5 edt_clock cycles before and after the posedge of the destination flop.
Although the scan_en signal may propagate to either posedge or negedge scannable flops on a delayed
SCC clock tree, the fact that SCC is gated while scan_en toggles makes both its setup and hold timing
safer without needing to rely on a negedge flop source like for edt_update. Furthermore, the scan_en
setup margin to negedge flops gets a half-cycle bonus, because the first SCC falling edge after a scan_en
transition always occurs after the first rising edge. The following figure shows the margin definitions.
By default, although these signals show some very safe setup and timing margins, you can still extend
them by calling the set_load_unload_timing_options command. As discussed previously, this proc sets
several global Tcl variables used in timing exceptions discussed in the following information.
In the Tessent SDC file, the hold and setup margins of all MCP constraints are derived dynamically from
the variable values in Table 28. The following excerpt from an SDC file shows how all setup and hold
margins are calculated. In this excerpt, the Tcl variable names intentionally match the setup and hold
margin names shown in Figure 150. As previously mentioned, it is helpful when reading this excerpt to
remember that the divided scan clock is a "divided_by 2" version of the ssn_bus_clock_scan_fast clock.
The following is an example of how the preceding $setup and $hold Tcl variables are typically used in the
SDC file MCP constraints:
To help you visualize these constraints, the following figures show some actual simulation results of the
timing of the scan_en and edt_update signals relative to the SSN bus and SSH scan clocks:
Figure 151. Gated Scan Clocks With All *extra_cycle* Variables Set to 1 (Default)
The following figure is the same as the previous, but the *extra_cycle* variables are set to zero. This
results in shorter setup and hold margins.
Figure 152. Gated Scan Clocks With All *extra_cycle* Variables Set to 0
In this figure, due to some inner SSH logic requirements, the scan_en setup and hold paths get some
bonus margins over the gated clocks case.
Figure 153. Divided Shift Clocks With Ratio of 4 and *extra_cycle* Variables at 0
Figure 154. Divided Shift Clocks With Ratio of 4 and *extra_cycle* Variables at 1
• The two flops on the right-hand side represent the scan chains. Their SI input comes from the
SSH to_scan_in* pins, and their SO outputs go back to the SSH through the from_scan_out*
pins.
• Direct loopback paths exist in some modes between the SSH to_scan_in* and from_scan_out*
pins.
• Logic elements that are active only during scan with gated shift clocks are colored green.
• Logic elements that are active only during scan with divided shift clocks are colored blue.
• The from_scan_out*_and (blue) cell strobes the SO data of the returning chain when running with
divided shift clocks, at the last of the N cycles of the divided clock. It is transparent when running
with the gated clock.
• Chains may feature either a trailing edge (TE) or leading edge (LE) chain terminating SO flop.
◦ When running with gated shift clocks, the from_scan_out_ret_n (green) flop captures TE SO
data.
◦ With both gated and divided clocks, the from_scan_out_ret_p flop captures the LE SO data.
◦ With divided clocks, the SSH control logic directly captures TE SO data through the
from_scan_out_mux.
• The tck_clock_gater (purple) cell feeds a gated version of ts_tck_tck to the SSH logic when it
runs in Streaming-Through-IJTAG mode.
Scan Chain Interface Timing Exceptions
• All of the preceding SSH scan interface negedge (green) flops are used only in combination with
the slower tessent_ssn_bus_clock_scan_slow and tessent_ssh*_gated clocks:
• Similarly, all green flops are active only when a slow shift-speed clock is injected on the
ssn_bus_clock port. Therefore, the resulting half-cycle paths are all false with regard to
the maximum speed of the tessent_ssn_bus_clock_network. The simpler -fall_from/-fall_to
tessent_ssn_bus_clock_network constraint cannot be used, because it might also kill some valid
half-cycle timing paths inside the SSN 1x receiver pipelining nodes.
• The SSH with the posedge flop that sources the to_scan_in signal is only active with the divided
clock and toggles in the middle of the tessent_ssh*_div clock cycle in order to act as a retiming
scan element.
• The from_scan_out logic constraints are more complex than other constraints because they
support scan SO paths coming from either leading-edge (LE) or trailing-edge (TE) flops.
# With a gated clock, all chain SO are captured by a single strobing flop. All
# other paths are false.
set_false_path -from [tessent_get_clocks tessent_ssh*_gated] \
-through [tessent_get_pins \
<SSH instance>/datapath/tessent_persistent_cell_from_scan_out*_mux*/Y]
# With a gated clock, the chain SO TE flop is captured by the TE strobe register
set_false_path -fall_from [tessent_get_clocks tessent_ssh*_gated] \
-to [tessent_get_cells \
<SSH instance>/datapath/from_scan_out*_ret_p*]
# With a gated clock, the chain SO LE flop is captured by the LE strobe register
set_false_path -rise_from [tessent_get_clocks tessent_ssh*_gated] \
-to [tessent_get_cells \
<SSH instance>/datapath/from_scan_out*_ret_n*]
• For SSH loopback logic, only valid paths exist inside the SSH controller, and they always meet
one cycle of the slow shift clock with zero hold, so they can be accurately timed using only the
SSN slow scan clock. However, because the SSH constraints are multimode, the absence of
case_analysis settings enable unexpected invalid paths to pass through the SSH*_bypass ports,
coming from different irrelevant clocks, including those from other SSHs. Such clocks must be
disabled.
• Disable false paths going through SSH scan control signals to external EDT pipelining flops,
which are only used when the SSH is inactive.
set_false_path \
-from [tessent_get_clocks tessent_ssn_bus_clock*] \
-through [tessent_get_pins \
<SSH instance>/tessent_persistent_cell_from_scan_out*_and/*] \
-to [tessent_get_clocks tessent_ssh*]
® ®
• Some multiplexers switch clocks statically. In Synopsys PrimeTime products, the following
command suppresses noisy clock gating check warnings, such as the PrimeTime PTE-060
warning:
• The setup margin of full- and half-cycle ijtag_tck timing paths is relaxed with a two-cycle
multicycle path (MCP), and the hold margin is set to false.
# Static SSH TDR registers to SSH mission logic are all stable during test.
# Both source and destination are in the fanout of the ijtag clock.
set ssh_flops [tessent_get_flops \
[list $tessent_ssh_mapping(ssh0)/* \
$tessent_ssh_mapping(ssh0)/*/* \
$tessent_ssh_mapping(ssh0)/*/*/*] \
-filter {is_hierarchical==false}]
set ssh_ijtag_flops [tessent_get_flops \
$tessent_ssh_mapping(ssh0)/ijtag_registers/* \
-filter {is_hierarchical==false}]
set ssh_mission_flops [remove_from_collection $ssh_flops $ssh_ijtag_flops]
set_multicycle_path 2 -from $ssh_ijtag_flops -to $ssh_mission_flops
set_false_path -hold -from $ssh_ijtag_flops -to $ssh_mission_flops
• The following provides various additional necessary constraints that may be present depending
on the SSH options:
# ssh0 enable_sync is static during test and fans out to ltest logic through the
# scan_en and edt_update signals.
set_false_path -from [tessent_get_cells <SSH instance>/fsm/enable_sync*] \
-to [tessent_get_clocks "tessent_ssh*_gated tessent_ssh*_div"]
You can simplify the clock definitions by specifying that the SSH generates only the test_clock source,
which in turn feeds the external edt_clock and shift_capture_clock clock gaters. Refer to the figure
"ScanHost Node Sourcing test_clock With Following DFT Signal Clock Gater" in the ScanHost reference
page in the Tessent Shell Reference Manual. The following shows how the SDC creates the gated clock
and divided clock from their master clock:
# Master clock
create_clock [tessent_get_ports ssn_bus_clock] \
-name tessent_ssn_bus_clock_scan_slow_0 \
-period $local_ssn_bus_clock_scan_slow_period -add
create_clock [tessent_get_ports ssn_bus_clock] \
-name tessent_ssn_bus_clock_scan_fast_0 \
-period $local_ssn_bus_clock_scan_fast_period -add
# SSH generated clocks
create_generated_clock [tessent_get_pins \
<ssh_instance>/clock_gen/clock_signals/*_test_clock_shaper/clk_out] \
-source [tessent_get_ports ssn_bus_clock] \
-name tessent_ssh0_test_clock_gated \
-master tessent_ssn_bus_clock_scan_slow_0 -add \
-combinational \
-divide_by 1
create_generated_clock [tessent_get_pins \
ssh_instance/clock_gen/clock_signals/*_test_clock_shaper/clk_out] \
-source [tessent_get_ports ssn_bus_clock] \
-name tessent_ssh0_test_clock_div \
-master tessent_ssn_bus_clock_scan_fast_0 -add \
-combinational \
-divide_by 2
The fact that a single clock source pin fans out to both scannable logic and EDT controller circuit
branches makes clock tree synthesis (CTS) balance these two branches together by default. This helps
maximize the shift speed of the scan mode. Although edt_clock and shift_capture_clock have separate
clock gaters due to their functionality, they are considered to be on the same clock tree from an STA point
of view. For that reason, when an SSH is configured to generate test_clock, STA needs only one pair of
gated and divided clocks defined for that SSH.
It is also possible to simplify the SDC when SSH bypass mode is present and the test_clock DFT signal
is shared with the ssn_bus_clock port, by setting the SSH use_ssn_bus_clock_as_test_clock_bypass
property to "on". In this case, the SSH hardware does not add a bypass mode multiplexer for test_clock
injection, and the SDC does not need to create a separate test_clock, because both SSN and bypass
clock trees are identical. The figure "ScanHost Sourcing test_clock Reused for Legacy Bypass Mode" in
the "ScanHost" reference page in the Tessent Shell Reference Manual provides a detailed example.
To summarize, when you combine the following SSH property settings:
ScanHost (id) {
use_clock_shaper_cell : on;
scan_signal_bypass : on;
use_ssn_bus_clock_as_test_clock_bypass: on;
Interface {
ChainGroup {
test_clock_present : on;
shift_capture_clock_present : off;
it reduces the resulting SDC from defining six different generated clocks:
to two clocks:
tessent_ssh*_test_clock_gated
tessent_ssh*_test_clock_div
• An SSN FIFO on the input of the datapath would have a CTS exclude point on its write-side clock
pin.
• An SSN FIFO on the output of the datapath would have a CTS exclude point on its read-side
clock pin.
Siemens EDA defines a CTS exclude point to be a pin where CTS clock balancing does not happen at
that point or at any point downstream in the clock tree from that exclude point. The exclude point should
still be treated as a clock pin for design rule fixing. Tessent tools also write out CTS exclude points in their
SDC files for logic internal to the OCC that generates clock gating signals. Refer to the your place and
route tool documentation to determine which CTS exception command matches the description of a CTS
exclude point provided in this section, and use that command as appropriate with your tool.
The preceding figure shows where Siemens EDA recommends specifying CTS instructions for balancing
stop points. If you omit these stop points from your CTS script, the tool interprets this script as indicating
that it must balance all of your scannable functional domains along with your SSN network bus clock tree.
This can lead to the following notable timing closure issues during layout, among others:
• A large increase in the total SSN bus clock tree latency. This creates excessive SSN clock
skew between neighboring tile blocks, your top-level SSN data bus ports, or both. This, in turn,
demands either large BusFrequencyMultiplier/BusFrequencyDivider nodes or deep SSN FIFO
nodes and could also potentially impact your maximum SSN bus clock frequency.
• Placement of your SSH clock generation subcircuit in the SSH clock_signal block in Figure 156
at the very root of the clock tree generated by CTS, instead of at the leaf cells in the fsm and
datapath block. This, in turn, results in a large reduction in your P1 timing path setup margin, by
the amount of the clock tree propagation D2. This can make it impossible to meet timing, even
for large functional trees or slow clock frequencies. You cannot add a pipelining flop to the path,
because this breaks the SSN scan protocol.
• Increased IJTAG clock network latency, because the ijtag_clock tree would come through the
scannable logic to the clock_signals logic.
If you specify the recommended CTS stop points, it makes the scan clock tree delay (D2) part of the chain
SI/SO timing paths in a predictable manner. This might force you to insert one or more rows of Tessent
pipelining flops along your EDT channels. The design of the SSH Scan SI/SO Interface already handles
a minimal level of skew, where all to_scan_in paths are retimed and all from_scan_out timing paths are
full-cycle paths of the slow clock. The from_scan_out circuit requires no retiming, because it includes a
single-direction clock timing loop, as shown in the figure. Timing Loop #2 includes the potentially very
large D2 delay, which the pipelining flops can reduce to the D1 value. The number of required pipelining
flops depends on both the size of your scannable domain and your target scan frequency. A large number
requires more effort during physical design to select the correct clock tree tapping point for each flop
layer. Automation has the potential to reduce these efforts, as does reducing the scan domain size by
multiplying the number of SSH instances at the DFT planning stage.
No other CTS constraints are required for the SSH bus clock and the ijtag_tck clocks. Tessent SDC
declares these clocks as physically exclusive, so the CTS process does not need to balance them
together. However, the ijtag_tck feeds both the ijtag_registers block, which contains standard TDR setup
logic, and the fsm and datapath block, which contains the SSH scan mode control logic. This enables
timing tck paths between the two blocks. The clock path to the fsm and datapath block is enabled only
during streaming_through_ijtag or bus_register access modes. When these modes are active, timing
paths P3, P4, and P5 must meet timing in 0.5 tck cycles, while P2 paths are static control signals that
Tessent SDC declares as false. All other tck timing paths within the fsm/datapath logic are more tightly
constrained by the faster SSN bus clock. CTS balances these two tck branches by default in the absence
of specific guidance, which improves the maximum IJTAG network speed without placing too much of a
penalty on the total tck insertion delay.
In the output SDC file, the extract_sdc command writes a Tcl proc named
tessent_get_cts_skew_groups_dict, which returns a dictionary of the CTS exceptions for clock skew
groups across all SSH and OCC instances in your design. You can use that dictionary with a custom
script that issues CTS commands specific to your layout tool. A CTS root point in Figure 156 on
page 614 shows where a new CTS clock tree should begin. Clocks starting at CTS root points grouped
under the same SSH in the tessent_get_cts_skew_groups_dict proc should be balanced together. The
header comment for the proc contains instructions on how to use it during CTS. The following is an
example:
proc tessent_get_cts_skew_groups_dict {} {
# This proc returns a dictionary of clock source pins from where clock
# tree synthesis balancing should stop.
# Use it in your CTS script, along with your proper tool command.
# In Synopsys ICC, invoke the following:
# set_clock_tree_exceptions -exclude_pins <exclude_pin>
# In Cadence Innovus, invoke the following:
# create_ccopt_skew_group -sources <exclude_pin> -auto_sinks
# -skew_group <group name>
# The effect of those commands is:
# * to prevent adding delay buffers to the small OCC internal clock tree,
# due to balancing with all flops in the OCC fanout, therefore
# helping the OCC clock_enable signals meet setup timing.
# * to prevent adding long delays to the SSN clock tree due to balancing
# with the functional clock tree in the fanout of each SSN scan host
# (SSH).
#
#
# You can use the dictionary the following way:
# set cts_skew_groups_dict [tessent_get_cts_skew_groups_dict]
# dict for {skew_group sub_dict} $cts_skew_groups_dict {
# dict with sub_dict {
Fast IO
SSN Fast IO is an SSN configuration in which the top-level external input and output ports of the SSN run
faster than the internal SSN bus.
These input and output ports are single-ended or DDR I/O ports, not SerDes or differential I/O ports.
These I/O ports can reliably run at 200 MHz and higher speeds. When you implement an SSN Fast
IO datapath, you place a BusFrequencyDivider (BFD) and BusFrequencyMultiplier (BFM) along with
Fifo nodes at either end of the SSN. These nodes collectively retime the data between the fast external
interface and the slower internal SSN bus.
Note:
The I/O ports that make up the fast external interface to the SSN must be part of the design
before you implement SSN Fast IO. The Tessent implementation does not supply these ports.
The following topics describe how to implement and use SSN Fast IO functionality:
Design Requirements
SSN Fast IO Functionality
Modeling a Double Data Rate Interface
Adaptive Tuning on the ATE
SSN Fast IO Bandwidth Improvement
Fast IO Pattern Generation
SSN Fast IO Limitations
Design Requirements
To implement SSN Fast IO, you must have a design with a single data rate or double data rate digital
interface that can be reused as the external interface to the SSN.
The interface should be capable of running faster than standard GPIO, which typically is limited to a
maximum operating frequency of 200 MHz. You can use a single clock as the source for both input and
output of the SSN. You can also use an optional second clock as one of the SSN clock sources, as shown
in Figure 157 on page 619.
Furthermore, you can also use a slower external interface to share access to the SSN. This requires
an equal number of data inputs and outputs to be used as the SSN bus_data_in and bus_data_out. It
also requires a single slower clock as the clock source to the SSN when you use the slower external
interface. A multiplexer, slow_fast_mux, enables the slower external interface to share access to the SSN,
as shown in Figure 158 on page 620 in "Single Data Rate Clocking".
Your Fast IO implementation must also conform to the following rules:
• The Fast IO BusFrequencyDivider (BFD) node (generating a divided clock) must be at the start of
the datapath, before any ScanHost (SSH) node.
• The Fast IO BusFrequencyMultiplier (BFM) node (generating a divided clock) must be at the end
of the datapath, after any SSH node.
• You must not cascade BFD or BFM nodes that generate divided clocks.
• A Fast IO datapath that starts with a BFD node that generates a divided clock must end with a
BFM that also generates a divided clock.
• If you have multiple dies and the datapath within each die meets the preceding requirements, you
can cascade the datapath across a pair of dies. At the boundary, you must have one die end with
a BFM node and the next die start with a BFD node. There must not be any SSH node between
these two nodes.
• If a BFD node generates a divided clock and drives an SSH node after it in the datapath (using
forward timing), you must have a Fifo or Receiver1xPipeline node between them.
As an alternative to the previous configuration (where the fast external interface is the only access to
the SSN), you can add a slow_fast_mux, as shown in the following figure, to share access to the SSN
through a slower external interface. The multiplexer enables you to share access to the SSN between the
two interfaces. The slow_fast_mux enables you to develop the SSN and create patterns using the slower
external interface while you work on the access through the faster external interface. You can remove the
slow_fast_mux once you are satisfied with the faster external interface as the only access mechanism to
the SSN.
When you use the DDR external interface, the clocks generated from the BFD and BFM are still required,
as described in “Single Data Rate Clocking” on page 619.
As with SDR clocking, you can add an alternate external interface to the SSN Fast IO with DDR clocking
configuration. The alternate external interface can access the SSN through a slow_fast_mux, shown in
the following figure. This mux enables you to develop the SSN and create patterns while you work on the
access through the faster external interface. You can remove the slow_fast_mux once you are satisfied
with the DDR interface as the only access mechanism to the SSN.
For example, if the DDR clock period is defined as 10 ns, the data is strobed every 5 ns: once on each
clock edge, rising and falling.
When you use the PatternsSpecification to create DDR verification patterns, define the DDR clock with
the same frequency division, as in the following example:
PatternsSpecification(…) {
Patterns(…) {
ClockPeriods {
<ssn_ddr_clock_name> : tester, 0.5 ;
}
}
}
Refer to the PatternsSpecification reference topic and its subtopic Patterns in the "Configuration-
Based Specification" chapter of the Tessent Shell Reference Manual for more information about using a
PatternsSpecification.
The following topics provide specific information about modeling the interface in various languages:
ICL Modeling
Verilog Modeling
ICL Modeling
The following sections show examples of how to model the DDR input and DDR output in ICL. New ICL
attributes are required for the DDR ICL models. These attributes are highlighted in these examples.
These ICL models describe the following schematic :
◦ Between the rising and falling edges of the SSN DDR clock.
◦ Between the falling and rising edges of the SSN DDR clock.
• The do_ret DataRegister spans all outputs and aligns the incoming data to the same clock edge.
This DataRegister does not necessarily have to be part of the DDR input ICL. For example, it can
be the register of the first pipeline. It is only necessary that it must use the same clock.
The following is an example of the input ICL model:
Module ddr_in {
ClockPort clk {
Attribute function_modifier = "tessent_ssn_clock";
Attribute forced_high_dft_signal_list = "ssn_en";
}
ToClockPort clk_out {
Attribute function_modifier = "tessent_ssn_clock";
Source clk;
}
DataInPort di {
Attribute function_modifier = "tessent_ssn_bus_data";
Attribute tessent_ssn_function = "ddr_bus_data_input";
Attribute forced_high_dft_signal_list = "ssn_en";
}
DataOutPort do[1:0] {
Attribute function_modifier = "tessent_ssn_bus_data";
Attribute forced_high_dft_signal_list = "ssn_en";
Source do_ret[1:0];
}
DataRegister do_ret[1:0] {
WriteDataSource di_neg_ret,di_pos_ret;
WriteEnSource 1'b1;
}
DataRegister di_neg_ret {
Attribute tessent_active_clock_edge = "falling";
WriteDataSource di;
WriteEnSource 1'b1;
}
DataRegister di_pos_ret {
Attribute tessent_active_clock_edge = "rising";
WriteDataSource di;
WriteEnSource 1'b1;
}
}
• A DataMux selects between two inputs and is controlled by an instance output of a special
module, as described in the following example.
This multiplexer selects between the source of the value that can be observed at the primary
output between the rising and falling edges of the SSN DDR clock and the source of the value
that can be observed at the same primary output between the falling and rising edges of the SSN
DDR clock.
Module ddr_out {
ClockPort clk {
Attribute function_modifier = "tessent_ssn_clock";
Attribute forced_high_dft_signal_list = "ssn_en";
}
ToClockPort clk_out {
Attribute function_modifier = "tessent_ssn_clock";
Source clk;
}
DataInPort di[1:0] {
Attribute function_modifier = "tessent_ssn_bus_data";
Attribute forced_high_dft_signal_list = "ssn_en";
}
DataOutPort do {
Attribute function_modifier = "tessent_ssn_bus_data";
Attribute tessent_ssn_function = "ddr_bus_data_output";
Attribute forced_high_dft_signal_list = "ssn_en";
Source ddr_mux;
}
DataRegister di_ret[1:0] {
WriteDataSource di[1:0];
WriteEnSource 1'b1;
}
DataRegister di_ret1 {
WriteDataSource di_ret[1];
WriteEnSource 1'b1;
}
DataRegister di_ret0 {
Attribute tessent_active_clock_edge = "falling";
WriteDataSource di_ret[0];
WriteEnSource 1'b1;
}
Instance do_select Of ddr_out_do_select {
InputPort in = clk;
}
DataMux ddr_mux SelectedBy do_select.out {
1'b0 : di_ret1;
1'b1 : di_ret0;
}
}
Module ddr_out_do_select {
Attribute tessent_ssn_function = "ddr_out_selector";
ClockPort in {
Verilog Modeling
The following sections show examples of how to model the DDR input and DDR output using Verilog
HDL. Use these examples to help create your simulation models if needed.
DDR Inputs
module ddr_in (clk, di, do, clk_out);
input clk;
input di;
output reg [1:0] do;
output clk_out;
endmodule
DDR Outputs
module ddr_out (clk, di, do, clk_out);
input clk;
input [1:0] di;
output do;
output clk_out;
endmodule
Figure 162. Proper Tuning of Input Data and the Output Strobe
The waveform of d-V1 at time zero shows the data perfectly centered around the rising edge of the clock
clk1g, which provides a sufficient setup and hold margin. Both are shown to be applied at time zero
without considering the delays inside the chip. However, the waveform for clk1g_int in cycle T2 shows a
roughly 400 ps delay before the first rising edge appears at the internal clock pin. To reliably strobe the
input stimulus, the input data from the ATE must be delayed to account for the delay on the clock net.
When it is not delayed and forced at time zero, as is shown in the preceding figure, there is not enough
setup and hold margin to be strobed by clk1g_int. Therefore, the input d‑V2 is mistakenly strobed.
As a result, to sample the d-V1 input data with sufficient setup and hold margin, it must be delayed to
account for the delay on the clock net inside the chip. The waveform d_tuned-V1 shows how the ATE can
provide a delayed version of the input data that is properly centered around the rising edge of clk1g_int,
providing sufficient setup and hold margin.
The output strobe is more commonly required to be tuned even at lower frequencies, to account for the
delays internal to the chip, because those delays translate into the data appearing on the output later
in the cycle compared to ideal timing. In Figure 162, the strobe is placed at the falling edge of the clock
clk1g, as shown in cycle T8. This strobe placement is based on timing of the clock being applied at time
zero without considering the delays inside the chip.
The waveform of q‑V1 shows the actual timing of the output based on the delay of the data before it
appears on the output. The "strobe" waveform shows the output strobe can be moved to later in the cycle
T8 while still providing sufficient setup and hold margin on q‑V1. The tuned placement of the output strobe
is shown in the waveform strobe_tuned.
The previous figure and accompanying description demonstrate the need to perform adaptive tuning to
test your chip reliably when you use SSN Fast IO. Refer to the section “ATE Calibration” on page 629
for the process of calibrating the tester to adapt to the internal delays of your chip using the SSN
continuity pattern.
The following topics provide more information about adaptive tuning:
ATE Calibration
ATE Input Delay Tuning
Output Strobe Tuning
ATE Calibration
Use the SSN continuity pattern to calibrate the tester to the delays in your chip.
To calibrate the ATE, start by slowing the pattern by a factor of four and setting the output strobe point just
before the end of the tester cycle. Slowing the pattern to this degree enables you to have a reliable output
strobe and a slow enough clock to strobe the input data reliably without any delay from the tester.
When you test your device at a frequency of 200 MHz or higher, you must find a reliable output strobe.
When your external clock frequency is 400 MHz or higher, you may also need to tune the input data from
the tester to account for internal clock delays across all PVT conditions. Testing your part without properly
calibrating the tester may result in yield loss.
Use the following equations to calculate the clock period and the location of the output strobe. In these
equations, T is the clock period (ps) of the SDR or DDR clock defined for the SSN continuity pattern:
Equation 1: Clock Period for the SSN Continuity Pattern When Calibrating the ATE
Clock period (calibration) = T × 4
Equation 2: Location of the Output Strobe, in Picoseconds, When Calibrating the ATE
Strobe point (calibration) = (T × 4) - 1 ps
Note:
This section uses the DDR clock waveform in Figure 162 on page 628 for reference.
With the SSN continuity pattern running at a 4× slower speed, increase the delay on d‑V1 from the tester
until the output shows failures. The delay added to d‑V1 moves the data change edge of d‑V1 toward
the rising edge of the clock clk1g_int, causing a setup violation, which results in failures on the SSN bus
output.
This is the amount of delay that causes the pattern to stop working and is the same for any clock
frequency. Use this delay to calculate the amount of delay needed by the tester before applying the input
data d‑V1. Use the following equation to calculate this delay:
Equation 3: Input Delay To Be Applied by the Tester
Input Delay (tuned) = delay - 750 ps
Subtracting 750 ps from the delay puts the data eye of d-V1 into the timing window T2, around the rising
edge of clk1g_int, and it provides 250 ps of hold margin, as shown in d_tuned in the waveform. Similarly,
the delay puts the data eye of d-V2 into the timing window T3 and around the falling edge of clk1g_int,
with the same hold margin. This is also shown in d_tuned in the waveform.
Note:
This section uses the DDR clock waveform in Figure 162 on page 628 for reference.
Start with the clock period of the SSN continuity pattern at full rate. You must restore the clock to its
maximum frequency before slowing it down to determine the input delay. If you have moved the output
strobe, you must also return it to its original position. It should be in the middle of the data eye of q‑V1 in
the timing window T8. With the clock running at full frequency and with the input tuned to the delay of the
clock, delay the output strobe by moving it toward the end of the T8 timing window and the data change
edge of q‑V1. Increase the delay until the strobe point no longer works, which occurs when you have
moved it sufficiently close to the data change edge between q‑V1 and q‑V2. The point of failure occurs
when the strobe violates the hold time of q‑d1. This amount of delay causes the pattern to stop working.
Use this delay to calculate the output strobe point, as shown in the following equation:
Equation 4: Delay To Apply to Output Strobe
Output Strobe Delay (tuned) = delay - 250 ps
Subtracting 250 ps from the measured delay puts strobe_tuned toward the end of the data eye of q‑V1.
This provides a sufficient setup margin and 250 ps of hold margin, as shown in the figure.
Tip
Calculate SSN bandwidth by multiplying the number of I/O ports by their maximum operating
frequency.
In some cases when a faster external interface with SDR clocking has fewer I/O ports than the number
of slower external I/O ports, the Fast IO interface does not yield a higher bandwidth for the SSN. The
following table shows that an SSN using SDR clocking with a slower external interface of 32 I/O ports
yields 6.4 Gbps when running at 200 MHz. To achieve the same bandwidth with a faster external interface
requires 16 I/O ports running at 400 MHz or eight I/O ports running at 800 MHz.
However, an SSN Fast IO can still provide value even if there is less throughput while testing a single part
at a time. If the bandwidth of a Fast IO interface is less than that of the slower external interface, multi-site
testing can improve the test time. For example, the following table shows you can test one SSN device
with 32 I/O ports running at 200 MHz in one-fourth of the test time of the same SSN device with a faster
external interface running at 800 MHz with two I/O ports.
Testing four devices concurrently running at 800 MHz yields a combined throughput of 6.4 Gbps. If
you use fewer ports for Fast IO than slower I/O ports, you may not observe the benefits of using Fast
IO functionality when testing individual devices. However, you may still observe benefits when testing
devices in parallel using multi-site testing.
• An equal number of data inputs and outputs must be used as the SSN bus_data_in and
bus_data_out.
• When you create SSN Fast IO patterns, you must use frequency-similar input and output ports of
the same datapath.
For example, when you retarget SSN patterns, both the bus_data_in and bus_data_out must
operate at the same frequency. You cannot mix a fast external interface with a slower external
interface.
• The clock input of a BFD cannot be driven by the clock output of another BFD (for example,
bus_clock_out or bus_clock_out_local).
Similarly, the clock input of a BFM cannot be driven by the clock input of another BFM (for
example, bus_clock_out).
• Because of limitations in WGL for representing DDR patterns, DDR clocking does not support the
WGL pattern format.
Note:
Because low-power shift hardware interferes with the operation of burn-in patterns, you must
disable any low-power shift hardware in your design to use the burn-in pattern functionality.
When you bypass both the EDT and SSH and use tool automation of add_dft_signals to create
shift_capture_clock and edt_clock from test_clock, the tool ensures edt_clock is correctly controlled. The
pulsing of test_clock results in pulsing of the edt_clock during the load_unload and shift procedures. If
you do not use automation of the add_dft_signals in this case, you must manually define the edt_clock
on an independent top-level port and manually constrain it off (to 0) to avoid scan chain blockages and
unknowns during simulation:
SETUP> add_clocks my_edt_clock
SETUP> add_input_constraints my_edt_clock -C0
Changing the clock source for the EDT channel pipeline stages to shift_capture_clock and using
edt_bypass mode results in DRC violations during ATPG. In addition, the pipeline stages are uninitialized
for simulation, resulting in unknowns being driven into the EDT decompresser and scan chains.
Tip
When you set up your burn-in patterns, use a mode name that includes a phrase like "burnin" so
you can easily identify your burn-in patterns. Refer to Step 2.a for an example of this. You can use
this mode name with the set_current_mode command.
Prerequisites
• The IJTAG graybox view is highly recommended for the retargeting flow. Although you can still
create burn-in patterns without them, doing so causes the flow to use significantly more memory
and take much longer.
Procedure
1. Generate a Tessent core description (TCD) file for each level of hierarchy, starting at the lowest
level.
b. Configure the tool into internal mode. Add the core instances for the EDTs and OCCs using a
command such as read_core_descriptions or add_core_instances.
e. (Optional) Write out the testbench, using the set_burnin_options command, to verify the burn-in
setup and sequence.
f. Repeat this process for each hierarchical level, including the top level.
2. Create a top-level burn-in pattern. The setup is identical to what you would use for pattern
retargeting, including the context ("set_context patterns ‑scan_retargeting").
a. Target the top level and any lower-level cores added by the TCD file. For example:
add_core_instances ‑instances {top corea_i1 corea_i2} ‑mode edt_mode_burnin
c. Write out the testbench, using the set_burnin_options command, to verify the burn-in setup and
sequence
d. (Optional) Configure the burn-in pattern setup with the set_burnin_options command.
Prerequisites
• The flat ATPG burn-in flow uses the same setup that flat ATPG uses.
Procedure
1. Configure the tool into internal mode.
2. Add the core instance for the EDTs and OCCs using a command such as read_core_descriptions
or add_core_instances.
4. (Optional) Configure the burn-in pattern setup with the set_burnin_options command.
• Tessent burn-in functionality does not support observation of design activity during application of
the pattern using SSN. You must supply your own device proof of life or activity.
• The verification testbench has limited functionality. A passing simulation does not confirm setup
or payload presence; you must inspect for these manually.
LVX Support
Tessent software includes the capability for laser voltage imaging (LVI) and laser voltage probing (LVP)
functionality. Tessent LVI and LVP support is referred to collectively as "LVX" support.
The LVX capabilities of laser scanning microscopy platforms measure timing and functional
characteristics of semiconductor devices. Their architecture usually supports docking with automated
test equipment (ATE) and back-side analysis for localization of cell- and transistor-level faults and design
marginalities.
Tessent LVX functionality includes the following:
• Packet-based delivery of any repeating sequence, such as "1100", from the tester through the
SSN network to all chains of an EDT (using EDT LVX hardware) or uncompressed chains.
• Broadcasting the sequence to all chains of an EDT or to a specific chain that you select on the
tester.
• Looping a payload pattern set (after setup) that applies the repeating sequence on internal chains
without interruption. You do not need to apply the ssn_end procedure between iterations, as
ATPG patterns require.
• Diagnosis reporting of the failing chains with the full ICL instance pathname of the driving EDT
instances and the chain indices.
• EDT hardware generated with LVX enabled in the DftSpecification. The EDT hardware can have
one of the following two configurations:
◦ One-hot chain selection support (more hardware but reduced LVX noise).
Procedure
Use the following wrappers and properties to generate the EDT with LVX hardware:
DftSpecification(module_name, id) {
EDT {
Controller {
LVxMode {
present: on | off | auto ;
enable_one_chain: on | off ;
}
}
}
}
Note:
If you specify enable_one_chain as "off" instead, the EDT can broadcast the LVX sequence only
to the scan chains.
Results
The DftSpecification results in an EDT with two new TDRs for controlling the LVX hardware:
• lvx_mode
• lvx_chain_index
These TDRs are controlled behind a SIB, as shown in the following figure, and accessible through the
IJTAG interface.
The PDL represents both of these TDRs with iWriteVars, so they are annotated in the pattern set and you
can patch them on the tester.
With the LVX setup, the EDT controller has an IJTAG scan interface. The tool resets the EDT hold
registers using the ijtag_reset signal.
Tip
Maintain no more than one EDT or one uncompressed chain per SSH chain group.
If you do not put each EDT and uncompressed chain into its own chain group, this results in the tool
adding padding to the packet. This, in turn, increases the number of shifts between each EDT/chain in the
lvx_loop sequence. Adding each EDT and uncompressed chain into its own SSH chain group results in a
more efficient packet for applying the lvx_loop to all the EDT/chains.
The tool delivers the LVX payload as packetized data serially along the SSN bus, as with normal scan
operation. Unlike normal scan operation, the LVX payload consists of a single bit per active EDT.
For example, using the preceding recommendation, the packet size for a single SSH with two active
internal mode EDTs, each in their own SSH chain_group, is two bits: a single bit for each EDT. The least
significant bit (LSB) of each SSH chain group drives a specific scan chain or broadcasts to all chains,
based on the LVX hardware for each EDT.
LVX Hardware for Channel Input
The following figures show the LVX hardware for the EDT channel input both with and without
enable_one_chain specified as part of the DftSpecification. The enable_one_chain specification adds
chain masks after the phase shifter. This is the hardware that the tool adds to the EDT to support LVX.
Specifying enable_one_chain enables you to observe individual chains during the application of the LVX
pattern. Without enable_one_chain, the LVX hardware does not have the granularity to block individual
chains. Instead, the hardware includes an additional mask_all_chains signal when you do not specify
enable_one_chain.
When multiple cores are active in an lvx_loop pattern, each core can be independently controlled through
the EDT LVX IJTAG registers for each individual core. This enables some cores to broadcast the lvx_loop
sequence to all scan chains, while other cores target unique scan chains trough the lvx_chain_index
TDR. Control this by patching the iWriteVars in the pattern.
LVX Hardware for Channel Output
The following figures show the propagation of LVX scan data for the EDT channel output both with
and without enable_one_chain hardware. The enable_one_chain specification adds some logic to
accommodate the enable_one_chain signal on EDT channel output 1. When a single SSH and EDT
are active, the LVX mode output appears on channel output 1. The SSH loads the LVX output into the
packet, and it is visible on the SSN bus output. When observing a single chain, the EDT masking logic is
controlled, and the one-hot masking logic that is already part of the EDT propagates the selected chain to
the first EDT channel output and the other chains are masked off. When broadcasting the LVX payload to
all chains, the chain selected by lvx_chain_index is visible on the first channel output.
When using the lvx_loop pattern with enable_one_chain, the SSH unloads channel 1 of each active EDT
back into the packet, and that random data is observable at the SSN bus output. The lvx_loop pattern
lacks the ability to confirm the current LVX configuration, because the expected output seen at the SSN
output does not provide a pass/fail status. The lvx_verification pattern, however, enables you to verify
and confirm the LVX payload. The lvx_verification pattern is functionally the same as the lvx_loop pattern
except that the output observed on the SSN bus output is compared against an expected value and
provides a pass/fail status. Changing an iWriteVar such as lvx_chain_index in the lvx_loop pattern causes
the two patterns, lvx_loop and lvx_verification, to differ.
When generating the lvx_verification pattern set, the tool generates sufficient data on the input side for
one full shift through the scan chains. This means that the pattern set consists of two patterns. The first is
applied and shifts in the LVX sequence into the scan chains, and the second unloads the LVX sequence
from the scan chains and compares it with the calculated expected sequence.
The following example pattern is from a STIL2005 file:
Pattern scan_test {
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Call "test_setup" ;
Call "ssn_setup" ;
Ann {* Pattern:0 Vector:3436 TesterCycle:5109 *}
"pattern 0":
Macro "load_unload_edt_grp1"{"_ssn_datapath1_ssn_bus_data_in[0]_" =
010011111000001111100000111110000011111000001111100000111110;
"_ssn_datapath1_ssn_bus_data_out[0]_" = \r51 X HHHHHLLLL;
}
Ann {* Last unload *}
"last unload":
Macro "load_unload_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" =
000011111000001111100000111110000011111000001111100000111110;
"_ssn_datapath1_ssn_bus_data_out[0]_" =
LHHHHHLLLLLHHHHHLLLLLHHHHHLLLLL \r29 X ;
}
Call "test_end" ;
}
This pattern illustrates how every pattern has an expected sequence on the SSN bus data output ports.
or
# Broadcast to all scan chains with the lvx_mode parameter
Hardware
Configuration LVX Mode Behavior
Procedure
1. Configure LVX patterns and LVP settings with the set_lvx_options command.
General LVX configuration enables you to specify a subset of the active SSHs to target, a
sequence for the pattern set, and the minimum number of repetitions.
Refer to the Examples section, following, for examples of how various options may affect the LVX
pattern files.
2. Configure EDT for LVX by setting the LVX mode with the set_core_instance_parameters
command.
This is typically for one of the following use cases:
• You have generated the EDT with enable_one_chain hardware, so that enable_one_chain is
the default LVX mode, and you want to switch to broadcast mode (enable_all_chains) instead.
• You want to change the default chain selected from chain 0. For example:
set_core_instance_parameters -instrument_type edt \
-parameter_values {lvx_mode enable_one_chain 487}
Examples
The following examples show variations in STIL files resulting from different configurations with the
set_lvx_options command. The gold text highlights important differences in the output.
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Macro "scan_edt_grp1" {
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:3 Vector:3 TesterCycle:3
Ann {* Pattern:3 Vector:3 TesterCycle:3 *}
"pattern 3":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:4 Vector:4 TesterCycle:4
Ann {* Pattern:4 Vector:4 TesterCycle:4 *}
"pattern 4":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
Ann {* Total count Patterns:5 Vectors:6 TesterCycles:6 *}
}
Default Behavior
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Ann {* Total count Patterns:3 Vectors:4 TesterCycles:4 *}
}
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Default Behavior
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Macro "scan_edt_grp1" {
set_lvx_options -repetitions 10
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
…
//Pattern:37 Vector:37 TesterCycle:37
Ann {* Pattern:37 Vector:37 TesterCycle:37 *}
"pattern 37":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:38 Vector:38 TesterCycle:38
Ann {* Pattern:38 Vector:38 TesterCycle:38 *}
"pattern 38":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Ann {* Total count Patterns:39 Vectors:40 TesterCycles:40 *}
}
Default Behavior
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 0;
}
Ann {* Total count Patterns:3 Vectors:4 TesterCycles:4 *}
}
set_lvx_options -repetitions 10
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
Loop 5 {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_ssn_bus_data_in[0]_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
Default Behavior
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_GPIO3_0_" = 1;
"_ssn_datapath2_GPIO3_1_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_GPIO3_0_" = 1;
"_ssn_datapath2_GPIO3_1_" = 1;
}
//Pattern:2 Vector:2 TesterCycle:2
Ann {* Pattern:2 Vector:2 TesterCycle:2 *}
"pattern 2":
Macro "scan_edt_grp1" {
"_ssn_datapath1_GPIO3_0_" = 1;
"_ssn_datapath2_GPIO3_1_" = 1;
}
//Pattern:3 Vector:3 TesterCycle:3
Ann {* Pattern:3 Vector:3 TesterCycle:3 *}
"pattern 3":
Pattern scan_test {
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Ann {* Test_setup procedure omitted from this pattern set *}
//Pattern:0 Vector:0 TesterCycle:0
Ann {* Pattern:0 Vector:0 TesterCycle:0 *}
Macro "scan_edt_grp1" {
"_ssn_datapath1_GPIO3_0_" = 1;
"_ssn_datapath2_GPIO3_1_" = 1;
"_datapath1_GPIO3_3_" = 1;
}
//Pattern:1 Vector:1 TesterCycle:1
Ann {* Pattern:1 Vector:1 TesterCycle:1 *}
"pattern 1":
Macro "scan_edt_grp1" {
"_ssn_datapath1_GPIO3_0_" = 1;
Note:
If you generate LVX loop and LVX verification patterns, you can reuse the ssn_setup procedures.
Refer to “Writing LVX Verification Patterns” on page 655 for more information.
Procedure
1. Generate the LVX loop setup files:
write_patterns lvx_test_setup.stil -test_setup only -stil
write_patterns lvx_ssn_setup.stil -ssn_setup only -pattern_sets lvx_loop -stil
The following alternative command generates combined setup patterns, which include both the
test_setup and ssn_setup procedures:
write_patterns lvx_all_setup.stil -all_setup_only -pattern_sets lvx_loop -stil
Note:
The test_setup procedure for LVX mode contains significant differences from the procedure
without LVX mode specified. In LVX mode, the procedure programs the IJTAG registers
inside the EDT. This does not occur outside LVX mode.
This writes the pattern set on which to loop onto the ATE.
Results
The lvx_loop pattern set includes the following functionality:
• It contains the shift vectors you loop through when performing LVI/LVP.
• During LVX, you loop through the lvx_loop payload without applying an ssn_end procedure.
Note:
The chain index in the test_setup.stil file can be modified on the ATE, which eliminates needing to
rewrite the pattern for a different change index.
Examples
Refer to the example in “Writing LVX Verification Patterns” on page 655, which contains both LVX loop
and LVX verification patterns.
Note:
Changing the chain_index from the value used when writing the lvx_verification pattern can lead
to pattern failures. The expected value is calculated based on the original chain_index. This
change can occur when the chain_index is switched to a chain with a different length or inversion.
Both the lvx_loop and lvx_verification pattern sets share the same ssn_setup programming. You can
optionally apply the lvx_verification pattern to the DUT to confirm the targeted shift register response.
Then you must apply the test_setup and ssn_setup for the lvx_loop before applying the lvx_loop payload
pattern.
Note:
You can generate the LVX verification setup and payload files before the LVX loop files.
Procedure
1. Generate the LVX verification setup files:
write_patterns lvx_test_setup.stil –test_setup only –stil
write_patterns lvx_ssn_setup.stil –ssn_setup only -pattern_sets lvx_verification ‑stil
The following alternative command generates combined setup patterns, which include both the
test_setup and ssn_setup procedures:
write_patterns lvx_all_setup.stil -all_setup_only -pattern_sets lvx_verification ‑stil
Examples
The following example commands write the test_setup, ssn_setup, lvx_verification, and lvx_loop patterns.
write_patterns lvx_test_setup.stil –test_setup only ‑stil
write_patterns lvx_ssn_setup.stil –ssn_setup only -pattern_sets lvx_verification ‑stil
write_patterns lvx_verification.stil –test_payload -pattern_sets lvx_verification ‑stil
write_patterns lvx_loop.stil –test_payload -pattern_sets lvx_loop ‑stil
Note:
The command to write the ssn_setup pattern uses the "‑pattern_sets lvx_verification" argument.
Because the SSN programming for lvx_loop and lvx_verification is identical for both patterns, you
can share the ssn_setup information for both the lvx_loop and lvx_verification patterns.
LVI_PATCHING_INFO
The LVI_PATCHING_INFO table enables the use of fault isolation techniques for SSN by providing two
pieces of information: the ICL instance name for EDT instances driving failing chains and the chain index
of the failing chain (as reflected in the STIL pattern).
LVI is an electrical fault isolation (EFI) technique for localizing defects in scan chains. LVI requires a
repeating periodic pattern on the chain of interest. This periodic pattern causes transistors in the scan
chain to turn on and off within the field of view of the LVI equipment. LVI collects the signals and analyzes
them in the frequency domain. The analysis detects transistors that switch with the expected pattern (and
those that do not) and produces an image.
During hardware generation in hierarchical DFT flows, the tool can only generate the LVX verification
pattern for the specified default chain. The tool can generate the loop pattern for any chain driven by
the LVX hardware. However, the tool's default pattern set contains the loop pattern for the default chain,
which is the same default chain specified when creating the LVX hardware. You can specify scan chains
with lvx_chain_index, or you can enable broadcast mode for all chains. Refer to “LVX Operation Modes
With LVX Hardware Configuration” on page 643 for more information.
Note:
For failure analysis (FA) purposes, you must patch the patterns to apply the looping pattern to
the (failing) chain of interest. When using SSN, usually the FA engineer that runs LVI patches the
patterns.
The goal of patching is to ensure that a repeating sequence drives the failing chain of interest. The FA
engineer requires the full ICL instance pathname of the EDT instance that drives the failing chain, and the
chain index of the failing chain to patch the original LVI patterns delivered by design engineering teams.
When the tool generates a diagnosis report, it automatically adds the LVI_PATCHING_INFO table within
the XMAP table if the design has LVI or LVP hardware present.
diagnosis_mode=chain
#symptoms=1 #suspects=1 CPU_time=0.70sec fail_log=chain.flog
XMAP_TABLE_BEGIN
…
LVI_PATCHING_INFO_BEGIN
symptom chain_name chain_index ICL_instance EDT_instance
1 edt…_chain_8 7 xtea…_edt_c1_inst /xtea…_edt_c1_inst
LVI_PATCHING_INFO_END
…
XMAP_TABLE_END
Ann {* + Targets: *}
Ann {* + Apply 0 *}
Ann {* + writes: *}
Ann {* + xtea_2.edt_three.edt_bypass = 0
*}
Ann {* + xtea_2.edt_three.edt_low_power_shift_en = 0
*}
Ann {* + xtea_2.edt_three.lvx_chain_index[1:0] = 00
*}
Ann {* + xtea_2.edt_three.lvx_mode[1:0] = 00
*}
Ann {* + xtea_2.xtea_rtl_tessent_edt_one_inst.edt_bypass = 0
*}
Ann {* + xtea_2.xtea_rtl_tessent_edt_one_inst.lvx_chain_index[2:0] = 000
*}
Ann {* + xtea_2.xtea_rtl_tessent_edt_one_inst.lvx_mode[1:0] = 00
*}
Ann {* + Shift-DR *}
…
Ann {* TESSENT_PRAGMA variable
xtea_2.xtea_rtl_tessent_edt_one_inst.lvx_chain_index \
-type write \
-var_bits {2:0} -pin tdi -relative_cycles {30:28} *}
…
Signal Groups
The pin of the Tessent pragma is in a signal group of your pattern. In the following SignalGroups, the tdi
pin is in the _pi_ signal group at the third position from the end.
SignalGroups {
…
_pi_ = '"ssn_bus_data_in"[11] + "ssn_bus_data_in"[10] +
"ssn_bus_data_in"[9] + "ssn_bus_data_in"[8] + "ssn_bus_data_in"[7] +
"ssn_bus_data_in"[6] + "ssn_bus_data_in"[5] + "ssn_bus_data_in"[4] +
"ssn_bus_data_in"[3] + "ssn_bus_data_in"[2] + "ssn_bus_data_in"[1] +
"ssn_bus_data_in"[0] + "ssn_bus_clock" + "reset_pad" + "xtea_1_rst" +
"xtea_2_rst" + "tck" + "tdi" + "tms" + "trst"';
…
}
Vector Locations
Tessent pragma annotations at each bit variable mark vectors of each index location. The following
annotations identify the three vectors to patch.
For more information about writing SSN patterns, with examples, refer to the section “Manufacturing
Patterns With SSN” on page 557.
Note:
We recommend that you write the IJTAG and payload procedures for all SSN patterns to separate
files.
Like logic test SSN patterns, SSN retention test patterns require failure mapping when a miscompare
is identified on the SSN bus output. The failure mapping step identifies the SSH the failing register is
associated with. You can identify the precise failing register using Tessent Diagnosis. The following is a
recipe for both failure mapping and diagnosis with a retention test pattern:
a. set_context -failure_mapping
b. read_design <my_top.v>
c. read_core_description
d. set_current_design
check_design_rules
e. read_patterns <.stil…>
a. set_context -scan_diagnosis
b. read_flat_model <core_flat_model>
c. read_patterns <core_patterns>
Note:
Retention testing with SSN requires a scan host generated with a 2022.1 or newer release.
Streaming-Through-IJTAG mode does not support retention testing.
• Designs with a ScanHost using on-chip compare that requires an EDT with a large output
channel count.
• Designs in which the area of the ScanHost is too large and must be reduced.
CAUTION:
Size resolution can have a noticeable impact on scan data volume. Therefore, we recommend
using a ScanHost equipped with size resolution technology cautiously, and only when necessary.
The following circumstances are the most likely scenarios for which padding is necessary to meet size
resolution requirements:
• During ATPG when using an EDT with a smaller channel count for wrapper chains.
• When using on-chip compare where the output bits are not a multiple of the size resolution
requirements.
You can use a ScanHost equipped with size resolution technology during ATPG and scan pattern
retargeting. There are no limitations or restrictions associated with using ScanHost nodes with and
without size resolution technology together. You can also use ScanHosts with identical or different size
resolution values concurrently.
On-Chip Compare: On
Figure 168 shows the decrease in the area of the ScanHost node using a 128-bit wide bus and different
EDT channel configurations. We recommend asymmetric EDT configurations when using on-chip
compare. The figure includes the following EDT configurations:
Value Not a Multiple of the SSH Size Resolution Setting Tool Response
Sum of input or output bit counts for multiple chain groups Pads packets
For example, if the ScanHost has a size resolution value of 8 and the bus width (M in the following figure)
is 50 bits, the tool reports an error. You must change the bus width or the size resolution value to resolve
this error.
• If the SSH has a size resolution value of 8 and the EDT has one channel, the tool adds seven bits
of padding to satisfy the size resolution requirements.
• If the SSH uses on-chip compare, has a size resolution value of 8, and uses an EDT with one
output channel and a single on-chip compare status group, the tool calculates the number of
output bits as three (3 × the EDT output channel count). Because three is not a multiple of the
size resolution value, the tool adds padding to satisfy the size resolution requirements: it adds 21
bits to the 3 to make the total number of output bits a multiple of 8, because the tool adds padding
to each packet.
For example, in the following figure, the sums of the input bit counts for chain_group_1 and
chain_group_2 (A+C) must be a multiple of the SSH size resolution value, and the sums of the output bit
counts (B+D) must also be a multiple of the size resolution value.
• If the SSH has a size resolution value of 4 and the input counts for the chain groups are 3 and 5,
then the sum (8) is a multiple of the size resolution value and the tool does not add padding.
• If the SSH has on-chip compare and a size resolution value of 4, and the output bit counts for the
chains are B=3 and D=5, the tool calculates the output bits for each chain group as 9 (3×B) and
15 (3×D). The sum of these output counts is 9+15=24, which is a multiple of the size resolution
value, so the tool does not add padding.
Problem
Third-party OCCs need connections to scan_en and shift_capture_clock, which do not exist until the SSN
ScanHost node is inserted. This section explains how to automate these connections.
Solution
The third-party OCCs are assumed to be pre-inserted into the design when SSN is inserted as described
in the section “Second DFT Insertion Pass: Inserting Block-Level EDT, OCC, and SSN” on page 488. The
scan_en and slow_clock pins on the OCC instances are tied low.
Follow these steps to properly connect the OCC to the ScanHost node:
Discussion
In the solution described in the previous subsection, you use the add_dft_control_points command to
make the scan_en and shift_capture_clock connections to the OCC. This is the general command you
use to connect any DFT signal to any destination. When you insert the ScanHost node, the insertion
If you want your legacy scan access mechanism to coexist with SSN in your first few designs that use
SSN, add the scan_en and other dynamic DFT signals on their original sources as you do currently. Then,
continue to preconnect the scan_en and slow_clock inputs of your third-party OCC to those sources.
When the ScanHost node is inserted, the complete fanout of the specified sources moves so that the
output pins of the ScanHost node drive them, and your original sources are connected to the bypass_in
pins of the ScanHost. Refer to "Example 2 in the ScanHost" reference page for more information about
this flow.
Unlike with the Tessent OCC, you must describe the third-party OCC to the Tessent Tool. To do this, use
the "add_clocks -capture_only" command manually on each OCC output clock pin, and provide a clock
control definition as described in the "Support for Internal Clock Control" section of the Tessent Scan and
ATPG User’s Manual.
For a tile-based design, this enables you to vary the interface location for the tile by configuring multiple
potential datapaths and then specifying the datapath ID for each tile.
This procedure demonstrates how to define multiple ICL datapaths so you can select the necessary one.
It uses the example tile in the following figure. You can adapt this example for your own design needs.
from_top
to_top
from_left to_right
SMUX
SMUX
SMUX
SSH
to_left from_right
from_bottom
to_bottom
Procedure
1. Set up the core shown in Figure 173 with the following DftSpecification:
DftSpecification(core,rtl) {
SSN {
ijtag_host_interface: Sib(ssn);
Datapath(1) {
output_bus_width : 4;
Connections {
bus_data_in : from_left[%d];
bus_data_out : to_left[%d];
}
ScanHost(1) {
ExtraOutputPath {
Connections {
bus_data_out : to_right[%d];
}
}
ExtraOutputPath {
Connections {
bus_data_out : to_top[%d];
}
}
ExtraOutputPath {
Connections {
bus_data_out : to_bottom[%d];
}
}
}
Multiplexer(from_right) {
Connections {
secondary_bus_data_in : from_right[%d];
}
}
Multiplexer(from_top) {
Connections {
secondary_bus_data_in : from_top[%d];
}
}
Multiplexer(from_bottom) {
Connections {
secondary_bus_data_in : from_bottom[%d];
}
}
}
}
}
2. Once you have configured the full DftSpecification, process it with the process_dft_specification
command.
The tool has defined a default ICL SSN datapath according to the DftSpecification, using the
Datapath/Connections wrapper:
INSERTION> get_icl_ssn_datapath_list
1
INSERTION> get_icl_ssn_datapath_ports -name 1
{
ssn_bus_clock
from_left[3]
from_left[2]
from_left[1]
from_left[0]
to_left[3]
to_left[2]
to_left[1]
to_left[0]
}
3. Define the ICL datapath combinations that are available for tile creation. Do this by removing the
default datapath and creating renamed versions manually, as with the following script:
delete_icl_ssn_datapaths -all
foreach from_direction {from_left from_right from_top \
from_bottom} {
foreach to_direction {to_left to_right to_top to_bottom} {
set icl_ssn_datapath [string cat $from_direction \
"_" $to_direction]
add_icl_ssn_datapaths $icl_ssn_datapath
set_icl_ssn_datapath_ports -name $icl_ssn_datapath \
-bus_data_inputs $from_direction \
-bus_data_outputs $to_direction \
-clock_inputs ssn_bus_clock
}
}
INSERTION> get_icl_ssn_datapath_list
from_bottom_to_bottom from_bottom_to_left from_bottom_to_right
from_bottom_to_top from_left_to_bottom from_left_to_left
from_left_to_right from_left_to_top from_right_to_bottom
from_right_to_left from_right_to_right from_right_to_top
from_top_to_bottom from_top_to_left from_top_to_right from_top_to_top
INSERTION> get_icl_ssn_datapath_ports -name from_right_to_bottom
{
ssn_bus_clock
from_right[3]
from_right[2]
from_right[1]
from_right[0]
to_bottom[3]
This completes the setup for the core level. You can use any of the datapath combinations during
DFT insertion in the next level.
4. To connect tiles set up using this setup, specify the appropriate ICL datapath from the core-level
DFT insertion pass.
The following DftSpecification creates the connections in Figure 174:
DftSpecification(chip,rtl) {
SSN {
ijtag_host_interface: Sib(ssn);
Datapath(1) {
output_bus_width : 4;
Connections {
bus_data_in : GPIO[8:5];
bus_data_out : GPIO[3:0];
bus_clock_in : GPIO[4];
}
DesignInstance(core_i4) {
datapath : from_right_to_left;
}
DesignInstance(core_i3) {
datapath : from_top_to_left;
}
DesignInstance(core_i2) {
datapath : from_left_to_bottom;
}
DesignInstance(core_i1) {
datapath : from_left_to_right;
}
}
}
}
from_top
from_top
to_top
to_top
from_left to_right from_left to_right
to_left from_right to_left from_right
to_top from_bottom
to_top from_bottom
from_top to_bottom
from_top to_bottom
from_left to_right from_left to_right
to_left from_right to_left from_right
from_bottom
from_bottom
to_bottom
to_bottom
Note:
Each core instance has unused datapath ports. To prevent ICL I2 DRC violations, you must
set the connection_rule_option ICL attribute for those pins. You can define the attribute for
all pins, not only those that are not in use. The following is an example script:
set_attribute_value $core_out_port_collection \
-name connection_rule_option \
-value allowed_no_destination
set_attribute_value $core_in_port_collection \
-name connection_rule_option \
-value allowed_no_source
1. Is it possible for an SSN datapath to have a branch that is narrower than the main
datapath?
Tessent SSN does support this, but it is not recommended. IPs with narrower bus widths than the
parent design are supported, but the IP is should be rebuilt with the proper width.
Use the SSN multiplexer node to isolate the narrower branch of the bus from the parent design.
When an SSH on the narrower bus is active, the effective bus width is scaled down to the
narrower bus width.
An IP with a wider bus than the parent design is not supported.
3. Can I change the SSN bus_clock and shift_capture_clock frequencies during retargeting?
You can change the bus_clock and shift_capture_clock frequencies during retargeting after the
patterns have already been created at the block level.
During retargeting, set the set_current_physical_block command to the scope of the physical
block that you want to change either frequency. Use the set_load_unload_timing_options
command to change the frequencies.
Note:
The SSN bus_clock frequency operates at the slowest specified frequency set by the
set_load_unload_timing_options command at any physical block.
5. What design view of my physical blocks should I use during pattern retargeting?
Use the graybox design view of the physical block whose patterns you want to retarget.
set_test_setup_icall \
"chip_top_ssh_insertion_tessent_ssn_mux_returnPath_inst.setup
select_secondary_bus 1" ‑append
7. How is the negative edge of scan_en synchronized across all SSH during top-level ATPG?
During top-level ATPG, one SSH may enter the capture state before another SSH in the same
active datapath. This may happen with certain bus configurations and is normal behavior. During
top-level ATPG, all active SSH nodes are capture-aligned. Each SSH receives the same number
of packets before entering or leaving the capture state. This guarantees that each physical block
does not miss any capture clock pulses.
8. Can I use a custom test_setup sequence with "pulse TCK" and "force TMS" to initialize an
analog IP and still use SSN?
Yes, add your custom test_setup sequence using the set_procfile_name command, and the SSN
initialization is appended at the end of your custom sequence.
13. Can I change the shift frequency of one of my partitions during retargeting?
You can lower the shift frequency of a physical block after the patterns have been created. Set
the set_current_physical_block command to the physical block to change the frequency and use
the "set_load_unload_timing_options -shift_clock_period" command to change the shift clock
frequency. You can observe the changed frequency using the report_load_unload_timing_options
or get_load_unload_timing_options commands.
14. Do I have to insert SSH, EDT, and OCC in the same DFT insertion step?
The SSH, EDT, and OCC do not have to be created or inserted at the same time. However, if you
do not insert them at the same time in one DFT insertion step, you are responsible for making the
physical connections between them and for the multiplexing logic that shares the path back to the
SSH between external and internal test EDT channels.
SSN Limitations
Use of SSN is subject to limitations in pattern writing, ScanHost identification, failure mapping, Streaming-
Through-IJTAG mode, automatic configuration, and certain commands that are incompatible with SSN.
• The following write_patterns command switches are not supported for SSN:
◦ -MEMory_size
◦ -SCAN_Memory_size
• For hierarchical designs, writing core-level patterns in the PatDB format using the write_patterns
command is the only source for retargeting scan patterns to the top level. ASCII format patterns
are not supported for retargeting.
• Do not use the add_core_instances command to add SSN ScanHost core instances.
The ScanHost instances are inferred from the ICL, and the active ScanHost instances are automatically
identified.
Streaming-Through-IJTAG Limitations
The status of the on-chip compare self-test is available only through the SSN parallel output bus. If you try
to write the on-chip compare self-test using Streaming-Through-IJTAG, the tool reports an error.
You cannot use Streaming-Through-IJTAG if all of the following are true:
• The IJTAG control signals include additional pipelines to enable an increased TCK clock shift
frequency.
• One or more of the active scan hosts on that path are capture-aligned with other active scan
hosts in the streaming scan network.
• You are writing a pattern that requires capture alignment, such as "write_patterns ‑pattern_sets
scan" or "write_patterns ‑pattern_sets retention".
For more information about Streaming-Through-IJTAG, refer to the section “Streaming-Through-IJTAG
Scan Data” on page 535.
• You must ensure there are no incidental inversions or permutations of bits on the SSN bus.
• The bit sequence of the datapath must be maintained throughout the SSN bus.
Problem
Solution
Discussion
Problem
Different design scenarios with parameterization wrappers have different impacts on the DFT insertion
process.
Multiple Unique Sets of Parameter Overrides with No Impact on RTL DFT Insertion
In the simplest scenario, the parameters have no impact on the DFT logic that is being inserted. In the
insertion steps the design is elaborated with its default parameter values. It makes no difference if the
parameter values are different when the block’s root module is instantiated into its parent module because
the parameters do not affect the DFT logic that is inserted. The distinctive characteristic of this scenario
is multiple unique sets of parameter overrides; therefore, synthesis creates multiple netlists. Those
netlists must be mapped to a single RTL view with DFT inserted. A small modification to the standard flow
enables this mapping.
In addition to having normal Verilog parameters, your design may have SystemVerilog interface ports
with modports or it may have parameterized types. In the first case, as long as the modports that are
specified when the block’s root module is instantiated do not affect RTL DFT insertion, the preceding
scenario and its solution are applicable. In the second case, as long as the actual type specified for the
parameterized type when the block’s root module is instantiated does not affect RTL DFT insertion, the
preceding scenario and its solution are applicable.
One or More Unique Sets of Parameter Overrides That Impact RTL DFT Insertion in the Same Way
In the typical scenario, the parameters do have an impact on the DFT logic inserted during RTL DFT
insertion. There may be multiple unique sets of parameter overrides; however, in this scenario, all of
them have the same effect on RTL DFT insertion. For example, your design may have a parameter that
controls the size of a generate loop which instantiates memories. Given that memory BIST must be
configured to test the right amount of memories, it is mandatory that, during DFT insertion, the parameter
be set to the same value it has when the block's root module is instantiated into its parent module.
Tessent Shell provides parameterization wrappers to ensure that the block’s root module is instantiated
in exactly the same way as in its parent module. A parameterization wrapper is a module that instantiates
the block's root module with the same parameter overrides used in the parent module's instantiation.
If the design has multiple unique sets of parameter overrides, the choice of which set to target in the
parameterization wrapper is arbitrary since they all have the same effect on RTL DFT insertion.
In addition, your design may have one or more of the following:
• System Verilog interface ports with modports specified in the instantiation of the block’s root
module. If the modports that are specified in the instantiation affect RTL DFT insertion, a
parameterization wrapper must ensure that the modports that are specified during insertion are
the same as in the parent module instantiation.
• System Verilog generic interface ports that are bound in the instantiation of the block’s root
module to System Verilog interface nets. In this case, a parameterization wrapper is always
required. You cannot perform stand-alone elaboration of the top module of a design that has
generic interface ports. The generic interface ports must be bound to actual interface nets.
• System Verilog parameterized types and the default types are overridden in the instantiation of
the block’s root module. If the actual types that are specified in the instantiation affect RTL DFT
insertion, a parameterization wrapper ensures that the types that are specified during insertion
are the same as in the parent module instantiation.
Parameterization wrappers properly handle those constructs; that is, they specify that the block’s root
module be instantiated with the same actuals (modports, interface nets, or types) as in the instantiation of
the block in the parent module.
Two or More Unique Sets of Parameter Overrides That Impact RTL DFT Insertion Differently
In the most complex scenario, your parameterized block must be uniquified in such a way that the sets of
parameter overrides associated with a given uniquified block have the same effect on RTL DFT insertion.
Tessent Shell provides a uniquification feature that enables you to associate multiple instantiations
with a single uniquified block. The Tessent flow facilitates the solution steps for each uniquified block.
Once you decide which instantiations of the block to associate with a given uniquified block, the tool
performs the required uniquification, creates the needed parameterization wrappers, and provides the
structures to facilitate RTL DFT insertion, synthesis, and gate-level insertion on each uniquified block and
its associated netlists.
Solution
This section presents the Tessent parameterization wrappers solution flow.
“Tessent Shell Flow for Hierarchical Designs” on page 217 describes the basic flow. However, except for
the simplest scenario, you must add a step that performs uniquification of the top module of a block (when
required) and creates the parameterization wrappers. You must also replace a few existing command
sequences with new ones.
Figure 175 shows the flow for using parameterization wrappers. It uses the following conventions:
◦ Normal black text—this step has differences with the corresponding step in the standard flow.
◦ Gray text—this step is identical to the corresponding step in the standard flow.
The red step on the top left in Figure 175, Creation of parameterization wrappers and initial TSBDs,
creates parameterization wrappers for each parameterized child block that requires RTL DFT insertion.
For most parameterized designs, parameterization wrappers are required for insertion as well as for
synthesis. If your design has one or more unique sets of parameter overrides that impact RTL DFT
insertion in the same way, this step is mandatory. We also recommend this step in the simpler case of
multiple unique sets of parameter overrides with no impact on RTL DFT insertion. Refer to “Creation of
Parameterization Wrappers and Initial TSDBs for Typical Designs” on page 684 for details.
The red step on the top right in Figure 175, Block Uniquification and Creation of Parameterization
Wrappers and Initial TSDBs, uniquifies the design such that a given uniquified parameterized block is
only instantiated with parameter overrides that impact RTL DFT insertion in the same way. The tool must
create parameterization wrappers for each (possibly uniquified) parameterized block before it performs
RTL DFT insertion on the block. If your design has two or more unique sets of parameter overrides that
impact RTL DFT insertion differently, this step is mandatory. Refer to “Block Uniquification and Creation of
Parameterization Wrappers and Initial TSDBs for Complex Designs” on page 685 for details.
The remaining steps in Figure 175 apply to all three of the scenarios described in the Problem section.
The dashed red optional step, "Creation of the gate-level TSDB for a Physical Block", is required only if
you do not use Tessent Scan for scan insertion.
Prerequisites
None.
Procedure
1. Specify the Tessent Shell context with a unique design_id and set the TSDB output directory:
2. Tag the design files to indicate the block to which they belong and load the design files:
set_read_design_tag <root_module_name_of_block>
read_verilog <design_files_for_the_block>
Repeat these two commands for each block you list in the configuration specification, including the
top block of the design. You must invoke the set_read_design_tag command before loading the
design files for each block.
set_current_design <root_module_name_of_top_block>
process_parameterized_design_specification
ParameterizedDesignSpecification(<root_module_name_of_top_block>, <de
sign_level_of_block>)
The tool creates an initial set of TSDBs for the blocks. The next step is “Modifications to the First
DFT Insertion Pass for Blocks” on page 687. We also recommend that you use the synthesis
approach exemplified in the scripts in “Synthesis Guidelines for Parameterization Wrapper Flows”
on page 994.
For a discussion of these steps applied to an example design, refer to “Creation of the
Parameterization Wrappers and Initial TSDBs” on page 694.
This step generates a parameterization wrapper for each view of a uniquified block. The tool arbitrarily
chooses one of those wrappers during RTL DFT insertion to elaborate the block with the proper
parameter overrides. The synthesis tool uses all of the wrappers to generate a netlist for each
parameterized view of the block.
In this step of the flow, you tag the design files to indicate the block to which they belong, load
the design files, and elaborate the design. You then create a configuration specification for the
process_parameterized_design_specification command and invoke that command. That command
uniquifies the blocks and creates the parameterization wrappers and side files for synthesis. It creates
a TSDB with a sub-directory for each block and writes the blocks to the TSDB. Finally, it verifies the
correctness of the design source dictionaries for the blocks.
Prerequisites
None.
Procedure
1. Specify the Tessent Shell context with a unique design_id and set the TSDB output directory:
2. Tag the design files to indicate the block to which they belong and load the design files:
set_read_design_tag <root_module_name_of_block>
read_verilog <design_files_for_the_block>
Repeat these two commands for each block listed in the configuration specification described as
follows, including the top block of the design. You must invoke the set_read_design_tag command
before loading the design files for each block.
set_current_design <root_module_name_of_top_block>
• You must list the immediate parent blocks to generate the side files that promote error-free
linking of child netlists to root module names in child block instantiations in the parent block
during synthesis.
• You must list the remaining ancestor blocks in case uniquification of such blocks is implied by
uniquification of a child block and to facilitate hierarchical insertion up to the top block.
process_parameterized_design_specification \
ParameterizedDesignSpecification(<root_module_name_of_top_block>,\
<design_level_of_block>)
The tool creates an initial set of TSDBs for the blocks. The next step is “Modifications to the First
DFT Insertion Pass for Blocks” on page 687. We also recommend that you use the synthesis
approach exemplified in the scripts in “Synthesis Guidelines for Parameterization Wrapper Flows”
on page 994.
For a discussion of these steps applied to an example design, refer to “Block Uniquification and
Creation of Parameterization Wrappers and Initial TSDBs” on page 700.
Prerequisites
• Refer to “First DFT Insertion Pass: Performing Block-Level MemoryBIST” on page 222 and
modify that flow as follows:
Procedure
1. Immediately after setting the TSDB output directory, open the TSDB created in the first step of the
flow:
open_tsdb golden_rtl_contents.tsdb
open_tsdb <root_module_name_of_block>.tsdb
3. Load the interface modules for any immediate child blocks in case those modules have external
dependencies:
4. Replace the invocations of the read_verilog command for your block’s design files with the
following read_design command:
Results
These modified steps of the flow accomplish the following actions:
• The tool automatically loads the parameterization wrapper for the block and uses it to elaborate
the design.
• The tool loads any files containing external dependencies that are present in the parameterization
wrapper but not in the block’s RTL design files.
Prerequisites
• Refer to “Second DFT Insertion Pass: Inserting Block-Level EDT and OCC” on page 224 and
modify that flow as follows:
Procedure
1. Open the TSDBs for any immediate child blocks:
open_tsdb <root_module_name_of_block>.tsdb
2. Load the interface modules for any immediate child blocks in case those modules have external
dependencies:
3. Replace the invocations of the read_verilog command for your block’s design files with the
following read_design command:
set td synth/[get_master_module_name]
file delete -force $td
file mkdir $td
write_design_import_script $td/analyze.tcl -use_relative_path $td \
-include_child_physical_block_interface_modules -replace
Results
These modified steps of the flow accomplish the following actions:
• The tool automatically loads the parameterization wrapper for the block and uses it to elaborate
the design.
• The tool loads any files containing external dependencies that are present in the parameterization
wrapper but not in the block’s RTL design files.
Prerequisites
None.
Procedure
1. Specify the Tessent Shell usage context with a unique design_id:
2. Specify the same TSDB output directory you used in the first and second RTL DFT insertion
passes:
4. Load the design data for the last RTL insertion pass:
5. Load the gate level interface views of any child physical blocks:
read_design <root_module_name_of_synthesized_netlist> \
-design_id gate -view interface
6. Elaborate the design. Use the "icl_module" option to map the netlist back to the correct RTL block:
set_current_design <root_module_name_of_synthesized_netlist> \
-icl_module <root_module_name_of_RTL_block>
set_design_level physical_block
Prerequisites
• Refer to “Performing Scan Chain Insertion: Wrapped Core” on page 229 and modify that flow as
follows:
Procedure
When the design is elaborated using the set_current_design command, use the -icl_module option to
map the netlist back to the correct RTL block:
set_current_design <root_module_name_of_synthesized_netlist> \
-icl_module <root_module_name_of_RTL_block>
Prerequisites
• Refer to “First DFT Insertion Pass: Performing Top-Level MemoryBIST and Boundary Scan” on
page 243 and modify that flow as follows:
Procedure
1. Immediately after setting the TSDB output directory, open the TSDB created in the first step of the
flow:
open_tsdb golden_rtl_contents.tsdb
open_tsdb <root_module_name_of_block>.tsdb
3. Load the interface modules for any immediate child blocks in case those modules have external
dependencies:
4. Replace the invocations of the read_verilog command for your block’s design files with the
following read_design command:
Prerequisites
• Refer to “Second DFT Insertion Pass: Inserting Top-Level EDT and OCC” on page 245 and
modify that flow as follows:
Procedure
1. Open the TSDBs for any immediate child blocks:
open_tsdb <root_module_name_of_block>.tsdb
2. Load the interface modules for any immediate child blocks in case those modules have external
dependencies:
3. Replace the invocations of read_verilog for your block’s design files with the following:
set td synth/[get_master_module_name]
file delete -force $td
file mkdir $td
write_design_import_script $td/analyze.tcl -use_relative_path $td \
-include_child_physical_block_interface_modules -replace
Discussion
Parameterization wrappers enable you to succinctly describe design variations at a high level. The
Tessent solution handles all types of parameterization, including simple parameters, parameterized types,
SystemVerilog interface ports with modports, and SystemVerilog generic interface ports.
The following examples show how to apply this capability for different design types:
The following topics apply to this example of a typical scenario. They also discuss common modifications
to the flow for parameterization wrappers in all three scenarios detailed in “Problem” on page 680.
Example 47. Script for Creation of Parameterization Wrappers and Initial TSDBs
In this example, the processor_core physical block is instantiated with parameter overrides that affect RTL
DFT insertion and requires modifications to the first pass and second pass DFT insertion steps.
Refer to the following sections for more information on modifications to the RTL DFT insertion passes:
• “Modifications to the First DFT Insertion Pass for Blocks” on page 687
• “Modifications to the Second DFT Insertion Pass for Blocks” on page 688
• “Modifications to the First DFT Insertion Pass for Chips” on page 691
• “Modifications to the Second DFT Insertion Pass for Chips” on page 691
In the step in the second pass of DFT insertion that invokes the write_design_import_script command, the
‑include_child_physical_block_interface_modules option is not applicable at the block level in this example
because processor_core has no child blocks. However, it is applicable in the general case and in the
second RTL DFT insertion pass for chip_top in this example.
For further discussion of this example, proceed to “Synthesis for Physical Blocks Example” on
page 696.
Figure 177 shows the post-synthesis diagram for the example design shown in the figure in “Typical
Scenario Without Uniquification” on page 694. Two separate netlists are created for processor_core:
processor_core_1 and processor_core_2.
Example 50. Script for Creation of the Gate-Level TSDB for a Physical Block
The block processor_core is a parameterized physical block that is instantiated three times with three sets
of parameter overrides. These parameters are shown in the figure as P1 and P2. The values shown in
each block are the parameter overrides. The overrides for PROCESSOR_1 and PROCESSOR_2 have
the same effect on DFT insertion. The overrides for PROCESSOR_3 affect DFT insertion differently than
those for the other two instances.
By default, the tool prefixes each uniquified block name with the top block name, chip_top in this example.
This enables the uniquified block to be used in multiple contexts.
The tool uniquifies the processor_core block such that the parameter overrides that are associated with
the instances specified in the uniquification group wrapper have the same effect on RTL DFT insertion.
Figure 179 shows the same design after uniquification for the example design shown in “Complex
Scenario With Uniquification” on page 700. The tool uniquifies the block processor_core into
chip_top_processor_core_pv1 and chip_top_processor_core_pv2.
In this example, the processor_core physical block is instantiated with parameter overrides that affect RTL
DFT insertion and requires modifications to the first pass and second pass DFT insertion steps.
Refer to the following sections for more information on modifications to the RTL DFT insertion passes:
• “Modifications to the First DFT Insertion Pass for Blocks” on page 687
• “Modifications to the Second DFT Insertion Pass for Blocks” on page 688
• “Modifications to the First DFT Insertion Pass for Chips” on page 691
• “Modifications to the Second DFT Insertion Pass for Chips” on page 691
For further discussion of this example, proceed to “Synthesis for Physical Blocks Example” on page 696.
Problem
Some pad models with internal pull resistors also model delays for the pull value, which allows a "Z"
or "X" value to propagate to the clock pin of the TRST generator. This causes a simulation artifact that
propagates an "X" on the network’s TRST signal and effectively fails the simulation.
Solution
The failure is purely a simulation artifact. The solution uses a Verilog side file that prevents an
undetermined value from propagating to the TRST generator’s clock pins.
`timescale 1ns/1ns
module tpsp_artifact_solution;
`ifndef TPSP_INST
`define TPSP_INST top_rtl_tessent_twopinserialport_tpsp_inst
`endif
always@(TB.DUT_inst.`TPSP_INST.tessent_persistent_cell_spio_in_trst_clk_buf.A) begin
if (TB.DUT_inst.`TPSP_INST.tessent_persistent_cell_spio_in_trst_clk_buf.A === 1'bx)
force TB.DUT_inst.`TPSP_INST.tessent_persistent_cell_spio_in_trst_clk_buf.Y = 1'b0;
else
#0.1 release TB.DUT_inst.`TPSP_INST.tessent_persistent_cell_spio_in_trst_clk_buf.Y;
end
endmodule
1. Create a Verilog side file using the preceding code, such as "tpsp_artifact_solution.v".
2. Determine the path to your TPSP instance. If you do not know the path, then you can use the
following commands to find it.
set tpsp_module [get_icl_modules -filter tessent_instrument_subtype=="tpsp_controller"]
set tpsp_icl_instance [get_icl_instances -of_modules $tpsp_module]
set tpsp_instance [get_single_name [get_instances -of_icl_instances $tpsp_icl_instance]]
set tpsp_sim_path [convert_design_path_format $tpsp_instance -to_dot_separator]
4. Run the simulation using the extra options to provide the TPSP controller’s path, the extra Verilog
side file, and the module inside.
run_testbench_simulations \
-simulation_macro_definitions "TPSP_INST=$tpsp_sim_path" \
-extra_verilog_files ../data/tpsp_artifact_solution.v \
-extra_top_modules tpsp_artifact_solution
1. Create a Verilog side file using the preceding code, such as "tpsp_artifact_solution.v".
3. Load the side file and provide the path to the TPSP controller instance using the "+define+"
command unless it is hard coded in the side file.
vlog -work dut_work "../../../../data/tpsp_artifact_solution.v" \
+define+TPSP_INST=path.to.instance.top_rtl_tessent_twopinserialport_tpsp_inst
4. Run the simulation using Questa’s "vsim" command, adding the module’s name from the Verilog
side file. (Use "acc" optimization if simulating with SDF.)
vsim -lib "dut_work" -L dut_work -do 'run -all; exit' \
-voptargs=\"+acc\" \
p1_configuration \
p1_sdf \
tpsp_artifact_solution
Discussion
The failure is purely a simulation artifact. The proposed solution is to use a Verilog side file to prevent an
undetermined value from propagating to the TRST generator’s clock pins.
The Two-Pin Serial Port (TPSP) controller is an interface for the 1149.1 TAP controller and can drive a
TAP with two pins on the chip boundary. A 4-bit shift register inside the TPSP generates the TRST signal
pulse for the TAP controllers it drives.
In Figure 180, an undetermined value (X) may occur on the internal spio_in net and reach the clock pin of
the TRST generator (shown in red), causing corruption of the simulation results. This register is sensitive
to these states, and the TPSP protocol is such that the inout port is put in a high impedance state every
third cycle. For these reasons, Tessent Shell requires a pull resistor modeled for the SPIO port, whether
an Inout Pad or Input Pad model.
The pull value drives the input immediately if the pad has no delay modeled. The registers do not get
corrupted, and the simulation ends with the correct results. However, if your pad models a delay for the
pull value, you will observe the problem. This problem is purely a simulation artifact and is not present
during manufacturing tests, and you can use a Verilog side file to avoid it.
To solve the simulation artifact when your pad model has a delay on the pull value, you can use
a Verilog side file to prevent a corrupting value from reaching the TRST generator registers’
clock pins. The side file changes the behavior of the persistent buffer inside the TPSP controller,
"tessent_persistent_cell_spio_in_trst_clk_buf" (refer to Figure 180), to disable the propagation of
an undetermined value through the buffer. The output of the buffer cell (Y) is forced to "0" when the
undetermined value is present on its input (A). The forced value is released with minor delay when the
value on the buffer’s input becomes determined.
An example Verilog side file was provided in the “Solution” on page 702. The code uses the `define
statement to provide the TPSP controller instance’s design path. However, the path could be hard coded
as well. Copy the code and create a new Verilog side file (Step 1). Before you can compile your new
Verilog side file and run it with the testbench generated by Tessent, you must define values for several
Tcl variables (Step 2). Define the library sources for simulation using the set_simulation_library_sources
command (Step 3). Finally, run the run_testbench_simulations command with extra options to use the
Verilog side file (Step 4).
You can also compile and run the new Verilog side file directly in a simulation tool such as Questa. Copy
the code and create a new Verilog side file (Step 1). Load your design files into the Questa simulator
(Step 2). Load the Verilog side file and define the path to the TPSP controller instance using a +define+
statement unless it is already hard coded in the side file (Step 3). Finally, run Questa simulation using the
additional options to utilize the Verilog side file (Step 4).
In summary, you can use a Verilog side file to resolve a simulation artifact. A pad modeled with a delay
on the pull value exhibits the problem. You can use a Verilog side file to prevent "X" value propagation by
changing the behavior of a buffer inside the TPSP. The side file solution works with a Tessent testbench
inside the Tessent shell or the Questa simulator outside the Tessent shell.
Problem
For embedded PLLs, such as the one shown inside corec in Figure 181, the OCC inserted on the VCO
output of the PLL is used during the internal logic test modes of the core. The OCC is also reused during
the internal test modes of its parent physical regions.
When the PLL is inside a wrapped core that is the child of another wrapped core, you must ensure that
the OCC is still controllable by the scan chains when running logic test modes of the top level.
Solution
Wrapped Core Only Used in the Top Level
If the PLL is embedded inside a wrapped core that is only used inside the top level physical region,
then no further action is needed. The standard flow handles this scenario automatically, as described in
“Tessent Shell Flow for Hierarchical Designs” on page 217.
Wrapped Cores Used Inside Other Wrapped Cores
You must use the following procedure when the wrapped core in which the embedded PLL is located has
another wrapped core above it, as shown in Figure 181.
1. Add an extra DFT signal when you process the wrapped core that embeds the PLL in “Second
DFT Insertion Pass: Inserting Top-Level EDT and OCC” on page 245 (for example, when
processing corec) as follows:
add_dft_signals promoted_cells_mode
2. Create a new scan mode when you process the wrapped core that embeds the PLL in the Scan
Chain Insertion step (for example, when processing corec) as follows:
set promoted_instances \
[get_attributed_objects \
-attribute_name wrapper_type_from_clock_source \
-object_type instance]
if {[sizeof_collection $promoted_instances] > 0} {
add_scan_mode promoted_cells_mode \
-include_elements [get_scan_elements \
-of_instances $promoted_instances]
}
The new scan mode contains the control flip-flops of the OCCs that need to be accessible from
the top-level.
3. Modify the definition of the external scan mode when you process the parent wrapped core in the
scan chain insertion step of the flow (for example, when processing corea).
Modifying the external scan mode definition enables you to include the promoted scan chains
from the child wrapped cores in the external chain of the current wrapped core. This step ensures
that the control flip-flops of the embedded OCCs are accessible by the test modes of the top
level.
◦ If your design only uses the wrapped core at the top level of the chip (such as corea), then no
further modifications are required for the standard flow.
◦ If your design embeds the wrapped core inside another wrapped core (for example, there was
a layer of wrapped core between corea and top), you need to recreate the promoted scan
mode at the current level.
This step provides access to the OCC control bits in its external scan mode. The method
shown in Step 3 is reapplied to that parent wrapped core as follows:
Discussion
The example chip shown in the following figure illustrates functional clocking when a PLL is embedded
inside a child physical region.
Figure 181. Example Chip With PLL Embedded Inside Lower Core
Figure 182 shows the location of the OCCs inserted inside corec and coreb. The two OCCs inside corec
are active during its internal test modes to inject the shift clock during the shift cycles and to chop the
functional clocks during capture cycles. The two OCCs inside coreb are also active during its internal test
modes.
Figure 182. Active OCCs During Internal Test Modes of corec and coreb
If you want to run the internal test modes of corec in parallel with those in coreb, you need to provide a
clock bypass path, such that the free running output of the PLL can continue to source the red clock of
coreb when the OCC inside corec is active.
The bypass clock path is not required. The tool issues an error if you try to re-target an internal test mode
of coreb in parallel with an internal test mode of corec or corea, and the bypass path is not present. The
reason for this check is that the OCC at the input of the red domain of coreb expects and requires a free
running clock when active. The red clock output of corea is not a free running clock when the red OCC
inside corec is active. Instead, it is alternating between the shift clock and bursts of at-speed clock pulses.
If you provide the bypass clock path, you reduce the overall chip test time as you can concurrently
test corec or corea with coreb. If you want to insert the clock bypass path within the DFT insertion
process, use a process_dft_specification.post_insertion callback to create the ports and make the
connections. Use the intercept_connection command to insert the multiplexer inside coreb. The best
option to control the select input of the multiplexer is to register and add a new DFT signal. Refer to the
register_static_dft_signal_names command for more information.
The get_dft_signal command in the process_dft_specification.post_insertion callback gets the connection
point for the added DFT signal. If the bypass path is manually added in the golden RTL, leave the
select input of the multiplexer tied low and connect it to the DFT signal during the DFT process with the
add_dft_control_points command. Refer to of the register_static_dft_signal_names command description
section for an example.
When you move up to corea, another OCC is inserted at the base of the blue clock domain to be used
during its internal logic test modes, as shown in Figure 183.
Figure 183. Active OCCs During Internal Test Modes of corea and coreb
The OCC on the blue domain inside corec is kept inactive, as it is during the functional mode. The
clocking of the entire blue clock domain is controlled by the OCC located inside of corea at the base of
the clock tree. The red OCC inside corec is active during the internal test mode of corea to control the
scannable flip-flops on the red domain inside corea, as well as the wrapper flops on the red domain inside
corec. Because the "fast_clock" input of that red OCC is not sourced by an input of corec, its scan chain
is automatically promoted to its wrapper chains. This promotion enables the scan chain to be under ATPG
control when running the internal test mode of corea.
If corec was not embedded within another wrapped core (such as coreb that is directly instantiated in the
top level), the handling is completely automated and no deviation from the standard flow, described under
“Tessent Shell Flow for Hierarchical Designs” on page 217, is necessary. However, when the wrapped
core containing the embedded PLL is inside another wrapped core, you must follow the steps described
under “Solution” on page 705 to enable the embedded OCC inside corec to be under ATPG control at
the top level.
Extra DFT Signals
Figure 184 shows the active OCCs when running the logic test mode of the top level. The red OCC inside
corec is active, which requires that the scan segment that contains the control flip-flops of the red OCC
must be accessible by the scan chains of the top level. This requirement is why Step 1 shows how to add
a new DFT signal called promoted_cells_mode to use as the enable for that scan chain configuration.
The OCCs within the cores are implemented with the shift only mode parameter. This has the advantage
of keeping the internal core shift timing identical between internal and external modes. The relative
shift timing between the many functional clock domains and the EDT clock domain is derived from the
common test_clock entering each core. You can close timing during layout on each core and not have to
wait until you run final STA from the top level to know how fast each core shifts in external mode.
If the OCCs do not have the shift only mode, the shift clock is injected through the red and the blue
OCCs. The relative timing of the shift clock as it arrives at the input of the two clocks inside coreb is not
known until the clock trees of the two functional clocks are completed, which does not happen until you
complete the layout of the top level.
When you use the add_dft_signals command to add the ext_ltest_en DFT signal to a given core, the
OCCs of that core are automatically equipped with the shift only mode.
New Scan Mode
Step 2 shows how to create the scan mode that contains the control flip-flops of the promoted OCCs. The
OCC instances that have their fast_clock input controlled by an internal source have an attribute named
wrapper_type_from_clock_source set on them automatically. The get_attributed_objects command is
used to find them and make them part of the special scan mode.
Promoted Scan Chains
When you get to the corea level, you need to promote the special scan chain on corec into the wrapper
chain of corea, such that the control flip-flops of the red OCC are under ATPG control from the top level.
This task is described in step 3.
Step 4 provides instructions for when corea is not directly instantiated into the top level, but instead
is embedded within another wrapper core. In that case, you need a special scan mode to collect the
embedded OCC chains such that they can be included in the wrapper chains of the parent wrapped
core. You follow step 3 on the parent wrapped core to include the promoted scan mode of corea within its
wrapper chain.
The purple line in Figure 184 represents the promoted scan chain that contains the control flip‑flops of
the red OCC. This scan segment is inserted in the ext_mode of corea in step 3 such that the OCC is part
of the scan chains of the top level.
Related Topics
Tessent OCC Types and Usage
OCC
Problem
The fundamental objectives for LBIST are increased test coverage, decreased test time, and lower power
consumption for the test run. In a typical flow, the full set of data needed to perform optimal insertion
to meet these objectives is not available until the gate-level netlist is ready. In practice, test circuitry is
closely integrated into the design and the design suffers when test is treated as an ad-hoc component or
inserted later in the gate-level netlist.
To address these issues and achieve a high level of test coverage and performance, the Hybrid TK/LBIST
flow enables you to insert DFT logic at the RTL level, before the gate-level netlist is ready. Capture
windows enable the flexibility to add test logic in the RTL and test accurately.
Solution
The solution is provided in two parts:
• Define capture windows (which clocks and how many pulses from each clock).
• Determine how many patterns to run per capture domain to achieve the targeted coverage.
Define Capture Windows
If the clocking structure is known and determined during the insertion of the IP, define capture windows
for this flow by following the examples under NCP Index Decoder in the Hybrid TK/LBIST Flow User's
Manual.
If the clocking structure is not yet defined or finalized, use the following procedure:
set_random_pattern integer
where the integer argument is the number of patterns that are planned for use in LBIST.
report_patterns
f. Design your capture windows using information from the ATPG run and the report_statistics
command.
• The ATPG run helps you identify the test clock domains in the design.
• The report_statistics command helps you identify the number of clock pulses per clock
domain
g. Determine how many patterns to run for each capture domain to achieve the targeted
coverage.
In the following example, the design has three clock domains, with possible interaction between CLK2
and CLK3. The highest faults per domain is at CLK2 at 78%, followed by CLK3 at 43%.
---------------------------------------------------------------
Clock Domain Summary % faults Test Coverage
(total) (total relevant)
--------------------------------------------------------------
CLK3_OCC 43.07% 99.13%
CLK2_OCC 78.80% 99.08%
CLK1_OCC 22.98% 98.17%
---------------------------------------------------------------
pre-filtering
patt. # pattern # type cycles loads capture_clock_sequence
------- ------------- ---------------- ------ ----- ------------------
0 0 basic 1 1 [CLK1_OCC,*]
1 1 basic 1 1 [CLK2_OCC,*]
… … …
1 400 basic 1 1 [CLK2_OCC,*]
Problem
Pads embedded inside a wrapped core must be identified such that boundary scan cells can be added.
The resulting boundary scan cells must also be made visible to the tool in order for them to be included in
scan chains and to apply scan patterns.
Solution
The solutions are given for wrapped core and chip-level flows.
Wrapped Core Flow
The following figure shows the standard command flow for creating a wrapped core that includes
embedded boundary scan:
If the core contains pads and boundary scan, you must include the following commands in the wrapped
core flow shown in Figure 185 on page 713:
• Insert memory BIST and embedded boundary scan using during the insert_mbist_ebscan step as
follows:
a. Specify DFT requirements to insert memory test and embedded boundary scan:
set_dft_specification_requirements -memory_test on \
-boundary_scan on
set_boundary_scan_port_options \
-pad_io_ports [list taclk ta_cci0a ta_cci0b ta_cci1a ta_cci1b
ta_cci2a ta_cci2b ta_out0 ta_out1 ta_out2]
Note:
The order specified is the order in which the boundary scan cells are inserted.
• Specify not to add any dedicated wrapper cells on the embedded pad I/O during the insert_scan
step:
set_dedicated_wrapper_cell_options off \
-ports {ta_out0 ta_out1 ta_out2}
set_dedicated_wrapper_cell_options off \
-ports {ta_cci0a taclk ta_cci0b ta_cci1a ta_cci1b ta_cci2a
ta_cci2b}
• Preserve the boundary scan instances in the graybox during the ATPG_patterns (graybox
generation) step.
set preserve_bscan {}
set preserve_bscan \
[get_instances ‑hier *_tessent_bscan_logical_group_*]
analyze_graybox -preserve_instances $preserve_bscan
write_design -tsdb -graybox -verbose
Chip-Level Flow
For boundary scan at the chip-level, follow the standard chip-level flow by inserting boundary scan as
the first step in the flow. There are no specific additions for embedded boundary scan at this phase of the
flow. The boundary scan segments from the wrapped core are picked up automatically during chip-level
boundary scan insertion.
Prerequisites
• Legacy core TSDB file.
Procedure
1. Open the TSDB of the legacy core:
open_tsdb <tsdb_directory>/legacy_core.tsdb
Tessent Shell provides automation through the TSDB directory and TCD files. From the TSDB, the
tool finds all available TCD files that apply to the design.
3. Prepare your legacy cores by configuring them into the correct mode.
a. If scan insertion was performed using Tessent Scan, use the import_scan_mode command
during pattern generation to import the EDT and OCC settings.
b. If the scan insertion was not performed using Tessent Scan, but there are TCD files in the
TSDB, use the add_core_instances command to configure the EDT and OCC.
c. If scan insertion was not performed using Tessent Scan and there are no TCD files for OCC,
manually add clock information using the add_clocks command and define the clock definition
as a third-party OCC.
Results
You have successfully added legacy cores to newly-inserted cores using the TSDB.
TAP Configuration
Tessent Shell typically relies on an IEEE 1149.1-based Test Access Port (TAP) as the primary access
mechanism to the DFT it inserts. When boundary scan is implemented, the Tessent TAP fully complies
with IEEE 1149.1 standard requirements, however many other possible configurations are possible.
Note:
For IEEE 1149.1-based TAP controllers using the optional TRST signal, TRST must be high
for functional mode and to force a synchronous reset with the TMS and TCK signals. Driving
TMS high for five TCK clock cycles forces the controller into the test/reset state. You can use the
PatternsSpecification/AdvancedOptions/ConstantPortSettings wrapper to force TRST high, as in
the following example:
PatternsSpecification(MyDesign,rtl,signoff) {
AdvancedOptions {
ConstantPortSettings {
TRST : 1 ;
}
}
}
This section provides a quick reference to the various TAP insertion styles that are supported, how to
specify them, and what to expect from such implementations.
Solution
The generated TAP connects to the trst, tck, tms, tdi, and tdo pads that were present in the pre-DFT
design.
Discussion
If the TAP pins in the current design do not use the default names (trst, tck, tms, tdi, or tdo), then they can
be mapped using one of the following:
The tool issues errors if the TAP pads are not yet present in the current design. If the design is
only temporary, you can specify the "set_design_level sub_block" command instead. Many TAP-
specific DRCs are not run in such a case and other side effects may result. You should read an
updated design that includes TAP pads as soon as possible.
The following is a schematic representation of this example:
Related Topics
Insert a TAP With an IJTAG Host Scan Interface
Solution
Discussion
The host scan interface on the generated TAP can be used to control any type of IJTAG‑compliant
network.
The host scan interface provides a test_logic_reset output to reset downstream logic; it asserts the
host_<hostname>_to_sel output to 1 when accessing the client IJTAG network.
The capture_dr_en, shift_dr_en, and update_dr_en outputs are consumed by the client IJTAG network or
instruments after qualification with the host_<hostname>_to_sel port.
The following is a schematic representation of this example:
Related Topics
Insert a Stand-Alone TAP in a Design
Solution
2. Ensure that at least one primary input pad is set to 0 or 1 to enable the TAP logic.
Discussion
Note how the CE pins are specified as options to the create_dft_specification command.
The CE decoding module relies on the CE pin values to select the active TDO and TDO_EN signals and
route both to the primary TDO output pad.
The same CE module also gates the TMS signal such that when the proper values are not present, the
TMS input on the TAP is kept to 0. This normally has the effect of "parking" the TAP in one of the stable
FSM states (refer to the IEEE 1149.1 FSM state diagram for details).
CAUTION:
Do not confuse the CE decoding module input port names with expected CE values! For instance,
in the preceding diagram the "ce0" input is actually sourced by the CE pin driven at 1; the "ce1"
input is connected to the CE pin driven at 0.
The general rule is that if n CE inputs are specified, the tool creates CE decoding logic with input ports
ranging from ce<n-1> down to ce0.
If internal CE nodes have to be used (that is, when the pre-DFT design already contains decoding
logic and hookup points to connect the new TAP to), declare those hierarchical nodes in a new
DftSpecification::IjtagNetwork::HostScanInterface::Interface wrapper. The Tap<name> wrapper then has
to be put within the very same interface wrapper.
The following is a schematic representation of this example:
Related Topics
Insert a Stand-Alone TAP in a Design
Solution
CAUTION:
You should not daisy-chain TAP controllers for BoundaryScan. The result is not IEEE 1149.1
compliant, and as a result you cannot create BoundaryScan patterns for the design with Tessent
Shell. During BoundaryScan testing, the scan paths contain both TAP controllers. This works for
the instruction and the BoundaryScan registers, but the bypass and the device_id path are longer
than the mandated size.
Discussion
The first TAP in this example has a name that starts with "top_rtl_", while the second TAP begins with
"top_rtl1_". These names are used because this step is typically done as a subsequent insertion pass,
such that the current design already contains at least one valid TAP.
The following is a schematic representation of this example:
Related Topics
Insert a Stand-Alone TAP in a Design
Solution
Discussion
Except for the new user_ir_bits[0] output port created using the DataOutPorts wrapper, this TAP is
functionally identical to a stand-alone TAP with a host scan interface.
The added DataOutPort ports are TAP IR bits. If you need to create these bits as DR bits, insert a TDR on
the existing host scan interface using the following command:
Related Topics
Insert a Stand-Alone TAP in a Design
Solution
Discussion
To trace through the secondary TAP implementations, begin with the TDO output and trace back toward
the primary TDI input.
The inserted ScanMux selects the TDI input by default and routes it to its own mux_out output.
The reset value of user_ir_bits[0] is 1. When this output transitions to 0, the secondary TAP is enabled
and the complete JTAG scan path goes through both TAPs.
Note:
When the primary TAP is used to host the BoundaryScan chain, it should be the only one
between TDI and TDO to ensure that the design is IEEE 1149.1 compliant.
Related Topics
Insert a Stand-Alone TAP in a Design
Solution
When creating the DFT specification, specify the ‑existing_ijtag_host_scan_in port option and point to the
ScanInPort on the third-party TAP that receives the scanned-out data from the inserted IJTAG network or
instruments. The DFT specification is then created accordingly.
Related Topics
Insert a Stand-Alone TAP in a Design
Problem
Tessent Shell does not natively generate and insert WSP Controllers for the IEEE 1500 standard.
However, you can build one using Tessent Shell’s IJTAG features for the IEEE 1687 standard.
Solution
To create a WSP controller, use an empty Verilog module and an IJTAG network that you can specify
using DftSpecification wrappers for the Tessent Shell. Refer to the Discussion for details of the IEEE 1687
IJTAG network model of the IEEE 1500 WSP interface.
Note:
Refer to "IJTAG Network Insertion" in the Tessent IJTAG User’s Manual for more information
about the insertion flow and how to edit or modify a DftSpecification.
DftSpecification(wsp, 1_ijtag) {
IjtagNetwork {
}
}
2. Create a DataInPort inside the DftSpecification/IjtagNetwork wrapper. Specify the port name as
"wir_select" to control the select input of a ScanMux Instruction Register (scanmux_ir).
DataInPorts {
port_naming : wir_select
}
HostScanInterface(wsp) {
ScanMux(scanmux_ir) {
select : DataIn(0);
Input(1) {}
Input(0) {}
}
}
4. Create a TDR for the WSP Instruction Register (wsp_ir) that connects to Input(1) of the
scanmux_ir. The length of the TDR depends on the number of registers in the hierarchy. Use a
TDR length greater than or equal to the base 2 logarithm of the number of registers.
Tdr(wsp_ir) {
length : tdr_length;
DataInPorts {
connection(tdr_length-1) : logic0/Y;
...
connection(1) : logic1/Y;
connection(0) : logic0/Y;
}
DecodedSignal(level1_mux_select) {
decode_values : <tdr_length>'bXX...X1;
}
DecodedSignal(level2_mux_select) {
decode_values : <tdr_length>'bXX...1X;
}
DecodedSignal(level<tdr_length>_mux_select) {
decode_values : <tdr_length>'b1X...XX;
}
}
5. Create the remaining IJTAG network hierarchy under Input(0) of the scanmux_ir. The bypass
register must be accessible only through code consisting of zeros or ones. The logic constraints
specify the device ID (dev_id) default capture value.
Tdr(dev_id) {
length : 32;
DataInPorts {
connection(31:18) : logic0/Y;
connection(17) : logic1/Y;
connection(16:2) : logic0/Y;
connection(2:0) : logic1/Y;
}
}
6. The following code is the complete DftSpecification that models the IEEE 1500 WSP.
DftSpecification(wsp,1_ijtag) {
IjtagNetwork {
DataInPorts {
port_naming : wir_select;
}
HostScanInterface(wsp) {
ScanMux (ir_dr) {
select : DataIn(0);
Input(1) {
Tdr(wsp_ir) {
length : <tdr_length>;
DataInPorts {
connection(tdr_length-1) : logic0/Y;
...
connection(1) : logic1/Y;
connection(0) : logic0/Y;
}
DecodedSignal(level1_mux_select) {
decode_values : <tdr_length>'bXX...X1;
}
DecodedSignal(level2_mux_select) {
decode_values : <tdr_length>'bXX...1X;
}
DecodedSignal(level<tdr_length>_mux_select) {
decode_values : <tdr_length>'b1X...XX;
}
}
}
Input(0) {
// part of the network connected to input 0 of the scanmux
...
}
}
}
}
}
Discussion
You can specify IJTAG networks in Tessent Shell using DftSpecification wrappers. The DftSpecification
interface can mimic an IEEE 1500 Wrapper Serial Port (WSP). The implementation is fully compliant with
the IEEE 1500 standard.
The WSP interface is almost the same as an IJTAG interface fully supported by Tessent Shell with only
one small modification required for the SelectWIR port. A primary input port must be created for the
SelectWIR. The ijtag_sel port is not connected in the model for the WSP. Table 32 summarizes the
signals.
Select ijtag_sel -
If the WSP module is not hosted by IJTAG, you must assert the ijtag_sel port to logic 1.
IJTAG does not have the SelectWIR port. Therefore, the primary input port created with the DataInPort
wrapper has no relation to the ToIRSelectPort of the TAP.
The DataIn port is used to control the ScanMux Instruction Register (scanmux_ir). The WSP Instruction
Register (wsp_ir) operates as a normal DataRegister in the IJTAG model.
Figure 186 shows an IJTAG network model of the IEEE 1500 WSP interface. It consists of a bypass
register, a dev_id register, and five other registers.
Figure 186. IEEE 1687 IJTAG Network Model of the IEEE 1500 WSP Interface
The following method is recommended to create a DftSpecification for the IJTAG network model of Figure
186.
Connect the scanmux_ir to the scan output port. Use wir_select primary input signal to drive the
scanmux_ir select signal to model the IEEE 1500 functionality.
Sort the scan muxes by hierarchy level. The yellow level1_mux is the first level in the mux hierarchy. The
green level2_mux0 and level2_mux1 are the second level in the mux hierarchy. The blue level3_mux00,
level3_mux01, and level3_mux10 are the third level in the mux hierarchy.
The wsp_ir generates signals to control the active scan path. Each bit of the wsp_ir controls one level
of mux hierarchy. Bit 0, the yellow bit, drives the select signal of the level1_mux. Bit 1, the green bit,
drives both select signals of the two level2_muxes. Bit 2, the blue bit, drives all three select signals of the
level3_muxes.
Table 33 lists the wsp_ir bit values that drive each select signal in the mux hierarchy. A logic 0 value
selects the input labeled 0 of the mux. A logic 1 value selects the input labeled 1 of the mux.
Table 33. Mux Select Signals and wsp_ir Value to Select Input 1
level3_mux_select 1XX
level2_mux_select X1X
level1_mux_select XX1
You can create the DftSpecification after understanding the model structure and the signal values driving
the select signals of the muxes. Recreate the structure of this IJTAG network in the DftSpecification. The
additional wir_select signal drives the select signal of the final scanmux_ir.
DftSpecification(test,rtl) {
IjtagNetwork {
DataInPorts {
port_naming : wir_select;
}
HostScanInterface(wsp) {
ScanMux (ir_dr) {
select : DataIn(0);
Input(1) {
Tdr(wsp_ir) {
length : 3;
DataInPorts {
connection(1) : logic0/Y;
connection(0) : logic1/Y;
}
DecodedSignal(level1_mux_select) {
decode_values : 3'bXX1;
}
DecodedSignal(level2_mux_select) {
decode_values : 3'bX1X;
}
DecodedSignal(level3_mux_select) {
decode_values : 3'b1XX;
}
}
}
Input(0) {
ScanMux (level1_mux) {
select : Tdr(wsp_ir)/DecodedSignal(level1_mux_select);
Input(1) {
ScanMux (level2_mux1) {
select : Tdr(wsp_ir)/DecodedSignal(level2_mux_select);
Input(1) {
Tdr(tdr4) {
length : 10;
}
}
Input(0) {
ScanMux (level3_mux10) {
select : Tdr(wsp_ir)/DecodedSignal(level3_mux_select);
Input(1) {
Tdr(tdr3) {
length : 8;
}
}
Input(0) {
Tdr(tdr2) {
length : 6;
}
}
}
Input(0) {
ScanMux (level2_mux0) {
select : Tdr(wsp_ir)/DecodedSignal(level2_mux_select);
Input(1) {
ScanMux (level3_mux01) {
select : Tdr(wsp_ir)/DecodedSignal(level3_mux_select);
Input(1) {
Tdr(tdr1) {
length : 4;
}
}
Input(0) {
Tdr(tdr0) {
length : 2;
}
}
}
}
Input(0) {
ScanMux (level3_mux00) {
select : Tdr(wsp_ir)/DecodedSignal(level3_mux_select);
Input(1) {
Tdr(dev_id) {
length : 32;
}
}
Input(0) {
Tdr(bypass) {
}
}
}
}
}
}
}
}
}
}
}
}
}
In summary, an IJTAG network can model an IEEE 1500 WSP interface and be fully compliant with the
IEEE 1500 standard. The DftSpecification/IjtagNetwork wrappers can describe the model for Tessent
Shell to generate and insert it into your design.
Solution
You must define constraints in synthesis tools to enable accurate gate level representations of the RTL.
These constraints are primarily made up of clock definitions and timing constraints. Use the following
procedures to set up constraints for Synopsys and Cadence synthesis tools within the Tessent Shell flow.
Synopsys
The following procedure provides a high-level overview of the synthesis flow for Synopsys. For more
information, refer to “Example Scripts Using Tessent Tool-Generated SDC” on page 979 for an example.
Note:
The value of tessent_tck_period might depend on the maximum tck clock frequency that
can be applied to the circuit. Refer to "IJTAG Network Performance Optimization" in the
Tessent IJTAG User’s Manual showing how to maximize the frequency of the IJTAG
network test clock.
set_app_var compile_enable_constant_propagation_with_no_boundary_opt
false
set preserve_instances [tessent_get_preserve_instances icl_extract]
set_boundary_optimization $preserve_instances false
set_ungroup $preserve_instances false
set_app_var compile_seqmap_propagate_high_effort false
set_app_var compile_delete_unloaded_sequential_cells false
set_boundary_optimization [tessent_get_optimize_instances] true
set_size_only -all_instances [tessent_get_size_only_instances]
Tip
Refer to “Solutions for Genus Synthesis Issues” on page 1005 if you experience issues
synthesizing mixed Verilog/VHDL designs.
Solution
You must place the ICL and PDL files in the same directory as the Verilog of the OCC using the same file
base name. For this example, the OCC Verilog module is in a file named third_party_occ.v.
Moving the ICL and PDL files into the same directory as the Verilog enables the tool to automatically load
them and carry the information throughout the flow.
You must prepare the following files and definitions as described:
• ICL — Provide an ICL file based on the IEEE 1687 IJTAG standard to describe the ports on the
OCC that need to be controlled by IJTAG during test. Name the file third_party_occ.icl and place
it in the same directory as the Verilog file.
• PDL — Provide a PDL file based on the IEEE 1687 IJTAG standard to describe the procedures
that configure the third-party OCC. Name the file third_party_occ.pdl and place it in the same
directory as the Verilog file.
• TCD Scan — Provide a TCD Scan file based on the Tessent Core description language to
describe the OCC’s programming shift register (chain segment) that needs to be connected to the
design’s scan chains during scan insertion.
The following is an example TCD Scan file for a third-party OCC. The sub-chain port information
must be included in the ScanChain wrapper and in the Clock and ScanEn wrappers to define the
polarity of each port.
Core(third_party_occ) {
Scan {
module_type : occ;
allow_scan_out_retiming : 0;
is_hard_module : 1;
traceable : 0;
pre_scan_drc_on_boundary_only : 1;
Clock(slow_clock) {
off_state : 1'b0;
• Clock Control Definitions — Provide a test procedure file that contains Clock Control Definitions
(CCDs) for the OCC instances in the design. For details on the format of CCDs, refer to
“Clock_Control (Optional)” on page 791.
Solution
EDT Example
The following EDT example uses a post-insertion procedure to connect the slow_clock port of the OCC
to the newly-generated shift_capture_clock DFT signal. This enables the OCC and EDT logic to use the
same clock source. The post-insertion script is run after the process_dft_specification command creates
all other logic defined in the DftSpecification. For detailed information on how to create and use a post-
insertion procedure, refer to process_dft_specification.post_insertion in the Tessent Shell Reference
Manual.
# Read the design and OCC module. The ICL and PDL files with the
# same base name are automatically read from the same directory
read_verilog ../rtl/RDS_process_with_occ.v
read_verilog ../rtl/third_party_occ.v
set_current_design RDS_process
set_design_level physical_block
# Define DFT Signals for EDT and scan test.
check_design_rules
Solution
When inserting scan into the post-synthesis netlist, you must specify the location of the TCD Scan file
using the set_design_sources command. The tool uses the description of the OCCs’ scan segment to
stitch them into the design’s scan chains.
The following is an example:
# Specify the location of the TCD Scan file that describes the OCC's scan
# segment
set_design_sources -format tcd_scan -Y ../rtl -extension tcd_scan
# Add a scan mode and specify EDT instances to which scan chains should be
# connected
set edt_instance [get_instances -of_icl_instances [get_icl_instances \
-filter tessent_instrument_type==mentor::edt]]
add_scan_mode edt -edt_instance $edt_instance
analyze_scan_chains
insert_test_logic
exit
Solution
Pattern Configuration
For stuck-at ATPG, much of the setup is automatically imported using the import_scan_mode command.
You should provide additional clock definitions and settings for the OCC for the OCC’s asynchronous
source clocks and the clocks on the output of the OCC instances.
In analysis mode, specify a procedure file that contains the clock control definitions for the OCC
instances.
For transition fault ATPG, a number of changes to the dofile are highlighted in the following example.
Define any additional internal clocks that are needed for the third-party OCC, similar to those defined in
the example (for example, if the OCC internally gates the fast clock during transition test).
Additionally, specify any parameters to the OCC’s iCalls that must be set to configure the OCC for
transition/fast-capture test.
You must constrain the design’s I/Os that are not used for transition test.
set_system_mode analysis
# Specify a procedure file containing clock control definition for the OCC
# instances
read_procfile third_party_occ_clock_controls.proc
set_fault_type transition
set_external_capture_options -pll_cycles 5 [lindex [get_timeplate_list] 0]
create_patterns
write_tsdb_data -replace
write_patterns patterns/RDS_process_transition_parallel.v -verilog \
-parallel -replace
set_pattern_filtering -sample_per_type 2
write_patterns patterns/RDS_process_transition_serial.v -verilog \
-serial –replace
Pattern Simulation
You must run a Verilog simulation of the generated patterns to ensure no mismatches are reported and
the patterns function as expected during the tester application.
For parallel load patterns specified by the "write_patterns ‑parallel" command, you simulate all the
patterns.
For serial load patterns, a handful of patterns are sufficient because the run time for simulating serial load
patterns can be significant.
Post-Synthesis Update
Mapping complex ports during synthesis may cause name and footprint changes in the design.
After logic synthesis with Design Compiler, Genus, or Oasys, ports may be flattened or bit-blasted,
causing design footprint changes. Post-synthesis names differ from those in the initial RTL. Refer to “ICL
and TCD Post-Synthesis Update” on page 118 for more information.
The update_icl_attributes_from_design command updates ICL port, instance, and module attributes for
the gate views of a design. Refer to “Updating ICL Attributes From the Design” on page 119 for more
information.
For example, if your design has an interface type named intf1 and a port named p1, the port declaration in
the design file should be written so that the range is restricted:
intf1 p1 [0:<positive_index>];
The left index must be 0 and the right index must be positive. If you do not follow this convention, the tool
reports a warning similar to the following:
®
1. Support the transformation performed by the Synopsys Design Compiler tool (dc_shell) with
"change_names ‑rules verilog" to remove the escaping and replace special characters with
underscores ("_"). A single underscore matches multiple underscores.
2. Support the transformation performed by Genus to get the gate name from the RTL name. All
strings and numerical indices in the RTL name are preserved. Only the delimiters are changed.
3. Support the Genus transformation described in rule #2 but with escaping removed and special
characters replaced with an underscore ("_") as in rule #1.
4. Support bit-blasted escaped names generated by a layout tool. The bit select is incorporated into
the escaped name.
Related Topics
report_rtl_to_gates_mapping
delete_rtl_to_gates_mapping
• Component Names — All strings between non-escaped delimiters (component names) in the
name to be looked up (lookup name) must match the corresponding strings in a netlist name
unless they contain special characters. The recognized delimiters are periods ("."), forward
slashes ("/"), brackets ("[]"), and underscores ("_").
There are two types of component names: subnames and indices. Any of the preceding
characters can delimit subnames. Indices can be delimited only by brackets or underscores. A
right bracket must follow a component name that is preceded by a left bracket.
Note:
Negative indices are not supported.
abc.def
The "abc" string in the name to be looked up must exactly match the string before the first
delimiter in a netlist name. The "def" string in the name to be looked up must exactly match the
string after the last delimiter in the same netlist name.
• Escaping — Escape characters are not matched. They are only used to direct the matching
procedure.
• Delimiters — Delimiters in the lookup name are used only to extract the component names.
All component names after the first are assumed to have a leading delimiter and optionally a
trailing delimiter in a netlist name. There are two cases to consider when matching the delimiters
for a component name in the netlist:
• Leading delimiter of period (".") or slash ("/") and trailing delimiter of null.
• Special Characters in the Lookup Name — When matching to a non-escaped name in the
netlist, any characters not allowed by the language without escaping in the lookup name are
replaced with the underscore character ("_"). Matching allows truncation in the netlist name to two
trailing underscores.
Note:
This truncation rule applies only to underscore characters derived from special characters,
not delimiters.
• Bit Select Lookup Name — A bit select lookup name (last component name is an index) can
match a one-dimensional vector with the final bit select applied to the match or match directly to a
bit select.
Syntax Conventions
The following syntax conventions are used in this chapter:
• Italic — Indicates lexical elements such as identifiers, strings, or numbers. Replace the italicized
word with the appropriate name or integer.
• | — A vertical bar or pipe character indicates a logical "OR" as in "select ham OR ham_not".
Reserved Characters
If you have a pin or pathname that uses a reserved punctuation character, you must enclose that name in
quotation marks (""). Refer to Table 34 for a list of reserved punctuation characters.
For example, the following statement is illegal because it uses the exclamation point outside of quotation
marks.
force /inst_my_adder_1/xclk_header!x1!x1/op1[9] 1
The signal name contains a reserved punctuation character, the exclamation point (!), so it must be
enclosed inside quotation marks. The correct syntax would be:
force "/inst_my_adder_1/xclk_header!x1!x1/op1[9]" 1
Name Character
Ampersand/AND &
Caret/Circumflex/XOR ^
Comma ,
Equals =
Exclamation mark !
Left/Opening brace {
Left/Opening parenthesis (
Right/Closing brace }
Right/Closing parenthesis )
Name Character
Semicolon ;
Vertical bar/OR |
if { tcl_expr } {
procedure file statements
}
elseif { tcl_expr } {
procedure file statements
}
else {
procedure file statements
}
Where a "tcl_expr" is any Boolean Tcl expression that uses Tcl variables, dofile variables, or environment
variables. Just as when doing variable substitution in the procedure file, other Tcl statements and
defining Tcl variables are not supported. All variables must be defined in the dofile or from the shell as
environment variables.
The body of these Tcl conditional statements should contain only legal procedure file syntax, not any
other Tcl statements. The Tcl conditional statements are treated as preprocessor statements in the
procedure file parser. They are not preserved in the tool after parsing is finished; only the procedure file
code selected by the evaluation of the Tcl "if" expression is stored in the tool. Therefore, when using
write_procfile to write out the procedure file, none of the Tcl conditional statements are present, and the
procedure file code not used is also not present. For more information, refer to “The Tessent Tcl Interface”
on page 981.
timeplate tp1 =
force_pi 0;
measure_po 1;
procedure shift =
scan_group grp1;
timeplate tp1;
cycle =
force_sci;
measure_sco;
pulse CLK8_15;
pulse CLK0_7;
end;
end;
procedure load_unload =
scan_group grp1;
timeplate tp1;
cycle=
force CLEAR 0;
force CLK0_7 0;
force CLK8_15 0;
force scen1 1;
end;
apply shift 8;
end;
procedure capture =
timeplate tp1;
cycle =
force_pi;
measure_po;
pulse_capture_clock;
end;
end;
#include "<file_name>";
[set_statement ...]
[alias_definition ...]
[timing_variables ...]
timeplate_definition // includes pulse clock statements
always_block
procedure_definition
clock control definition
#include Statement
Set Statement
Alias Definition
Timing Variables
Timeplate Definition
Multiple-Pulse Clocks
Always Block
Procedure Definition
#include Statement
The "#include" statement specifies that the tool read test procedure data from a specified file.
The following rules apply to #include statements and files:
• The "#include" statement can occur anywhere in the file, and multiple "#include" statements can
occur in one file. For example:
#include "ham.proc";
• The filename to be included must be enclosed in quotation marks (""), and the statement must be
followed by a semicolon.
• All timeplates and procedure rules apply to the statements placed in #include files.
• Included files can use the #include statement to include other files, up to a maximum include
depth of 512. If you later use the write_procfile command to write out procedure data, the
#include statements are not preserved, and the tool writes all procedure data to a single file.
Set Statement
The Set statements define specific parameters used throughout the test procedure file.
timeplate fast_clk_tp =
force_pi 0 ;
measure_po 0.500 ;
pulse CLKA 0.750 1.50 ;
pulse CLKB 0.750 1.50 ;
period 3.000 ;
end ;
To correct the syntax, you could change the time scale to picoseconds, and adjust the time value to meet
the scale as follows:
timeplate fast_clk_tp =
force_pi 0 ;
measure_po 50 ;
pulse CLKA 75 150 ;
pulse CLKB 75 150 ;
period 300 ;
end ;
The units supported are ms, us, ns, ps, and fs.
The tool translates the time scale in the procedure file into a Verilog ‘timescale directive in the Verilog
testbench when writing patterns in Verilog format.
If the time scale number you specify in the test procedure file is 1 or larger, the resulting Verilog ‘timescale
directive has the same time unit (resolution) and time precision. For example, "set time scale 1 ns ;"
would result in this Verilog directive:
If you want the testbench to have smaller precision than resolution, there are several ways to designate
this:
• Specify a time scale number of less than 1 in the procedure file. For example, "set time scale 0.5
ns ;" produces this Verilog directive:
• Add non-zero significant bits to the time scale in the procedure file. For example, "set time scale
10.05 ns ;" produces this Verilog directive:
• Add trailing zeros as significant bits for an asynchronous clock period or pattern_sets period
(when creating IJTAG patterns). For example, an "add_clocks ‑period 10.00ns" command
produces this Verilog directive:
• Use the SIM_PRECISION parameter file keyword. For example, "SIM_PRECISION 0.5ns;"
produces this Verilog directive:
The precision in the Verilog testbench can originate from any of the previous sources, and the tool uses
the smallest specified precision when writing out the Verilog testbench.
The resolution in the Verilog testbench originates from the procedure file. When you use multiple
procedure files, the various "set time scale" statements can specify different values, and the tool uses the
smallest specified resolution when writing the Verilog testbench.
Note:
Strobe_window only affects the following formats: STIL, TSTL2, and WGL.
Alias Definition
The Alias definition groups multiple signal names or cell paths into a single alias name. Signal Alias
statements are useful in procedures or timeplates where multiple signals need to be assigned to the same
value at the same time.
Cell Alias statements are used to group cell paths into a single alias name. You must define aliases
before using them. The definition can occur at any place in the procedure file outside of a timeplate or
procedure definition.
Note:
When saving STIL2005, CTL, or Structural_STIL patterns, all aliases defined in the procedure file
are defined as SignalGroups in the resulting STIL file.
There is a predefined alias available for specifying all bidirectional pins. The "_ALL_BIDI" keyword may
be useful for forcing all bidirectional pins to a specified value without having to identify each individual pin.
For example:
force _ALL_BIDI Z;
In using a cell Alias statement to group cell paths from condition statements into a single alias name, it
is possible to override a condition statement in a named capture procedure with a subsequent condition
statement that occurs in the same place (global condition, or local to a specific cycle). A condition
statement can only override a previous condition if the first condition is specified using an alias name, and
if the second condition is specified without using an Alias statement.
Tip
When using multiple named capture procedures where each procedure requires many condition
statements, it is helpful to group cells into a common name and apply the condition statement
once to the entire group of cells, and then override specific cells that need a different value
than what was applied to the group. This frees you from having to enter numerous condition
statements for each named capture procedure, while only a handful of the cells require different
values for each procedure.
or
• alias_name
A string that specifies the name of the alias.
Note:
The alias_name you specify should begin with an alphabetical character. If you want the
name to begin with a numerical character, you must put the name in quotation marks.
• pin_name
A repeatable string that specifies the pin name to associate with the alias name.
• cell_name
A repeatable string that specifies the cell name to associate with the alias name.
Alias Examples
This example groups two signal names into a single alias name.
alias my_group = T, U;
This next example shows how a named capture procedure should look when using an Alias statement
for condition cells. The example sets each of four cells to a value of 0, and then the fourth cell (/inst_3/
blockb/reg_2/Q) is overridden with a value of 1.
Timing Variables
Two timing variable block definitions enable a procedure file to express timing using variables and
equations, and to have this equation-based timing preserved in the tool and reproduced in the correct
syntax in pattern output files.
Test data languages such as WGL and STIL have the ability to express time values in the timing blocks
as numerical values or as equations based on variables. Using equation-based timing enables one value
to be specified for a global attribute, such as the test cycle period, while other values are derived from this
using equations.
The two timing block definitions are called "timing_variables" and "variables". In the "timing_variables"
block, variables can be defined and assigned timing values. These values are expressed in the time scale
that is already specified by the Set Time Scale statement. The "timing_variables" block must be defined
before the timeplate definitions.
The "variables" block is used to define variables that are not time values and have no units associated
with them. These variables can only be assigned integer numbers, and can be used as scaling multipliers
in the timing equations.
The variables in the timing_variables block can also be assigned timing equations instead of time values.
These equations can use either timing values or previously defined variables or timing variables as
operands. When using timing equations, you must ensure that the final value has a valid time unit. When
the tool parses the procedure file, timing variables that are computed to have an invalid time unit cause a
W42 DRC error.
Note:
The event statements in the timeplate definition block accept timing values and timing variables.
When saving patterns in the Verilog, WGL, and STIL supported formats, the waveform tables in these
formats are written using the equations and variables, and the variables are defined in the appropriate
definition blocks that exist in each format. When saving patterns in formats that don’t support equation-
based timing, the equations are computed and the timing information is specified as the resulting numeric
values in the pattern file. Setting the ALL_FLATTEN_TIMING parameter file keyword to "1" causes
Verilog, WGL, and STIL outputs to compute the timing equations and use only the resulting numeric
values in the output files. Any equation that does not compute to an integer value is rounded to the
nearest integer value.
The "timing_variables" block has the following syntax:
variables =
variable_name = integer;
[variable_name = integer; …]
end;
timing_variables =
variable_name = time_or_equation;
[variable_name = time_or_equation; …]
end;
Note that time_or_equation can either be an integer time value or a time equation. A time equation is
expressed using operators and operands. An operator is one of +, -, *, or /. An operand can be a time
value or a variable name (time or scaling variable). The multiplication and division operators (* and /)
take precedence over the addition and subtraction operators (+ and -). You can use parenthesis to group
operations for precedence.
Note:
In the timeplate definitions, any place where a time value can be used, a timing variable is also
valid. A scaling variable from the "variables" block cannot be used in a timeplate definition. These
can only be used in time equations.
Variable names can be any identifier except for reserved keywords used in the procedure file syntax
(such as "period" and "force_pi"). The variable names must conform to the rules that apply to all identifiers
used in the procedure file (alpha numeric string, starting with an alpha character, and no reserved
punctuation marks). If reserved characters or reserved words are used in a variable name, the name must
be enclosed in quotation marks.
timing_variables =
t_period = 100;
t_force = 0;
t_meas = ((t_period * 0.1) * v_scale );
t_rise = ((t_period / 2) * v_scale );
t_width = ((t_period * 0.2) * v_scale);
end;
timeplate tp1 =
force_pi t_force;
measure_po t_meas;
pulse ref_clk t_rise t_width;
period t_period;
end;
This is how the preceding timing example would be represented in the STIL output:
Spec STUCK_spec {
Category STUCK_cat {
v_scale = '1';
t_period = '100ns';
t_force = '0ns';
t_meas = '(t_period*0.1)*v_scale';
t_rise = '(t_period/2)*v_scale';
t_width = '(t_period*0.2)*v_scale;
}
}
Timing STUCK_timing {
WaveformTable tset_tp1 {
Period 't_period';
Waveforms {
input_time_gen_0 { 01 { 't_force' D/U; }}
input_time_gen_1 { 01 { '0ns' D; 't_rise' D/U;
't_rise+t_width' D;}}
_po_ { LHX { '0ns' X; 't_meas' l/h/x; 't_rise' X;}}
}
}
}
This is how the timing example would be represented in the WGL output:
equationsheet STUCK_sheet
exprset STUCK_set
v_scale := 1.0;
t_period := 100nS;
t_force := 0nS;
Timeplate Definition
The timeplate definition describes a single tester cycle and specifies where in that cycle all event edges
are placed.
You must define all timeplates before they are referenced. A procedure file must have at least one
timeplate definition. All clocks must be defined in the timeplate definition. The period statement must be
the last statement in the timeplate definition.
The timeplate definition has the following format:
timeplate timeplate_name =
timeplate_statement
[timeplate_statement …]
period time;
end;
The following list contains available timeplate_statement statements. The timeplate definition should
contain at least the force_pi and measure_po statements. You are not required to include pulse
statements for the clocks. But if you do not "pulse" any of the clocks, the tool uses two cycles to pulse a
clock, resulting in larger patterns.
The tool uses the pulse_clock statement rather than individual pulse statements when generating default
procedures.
timeplate_statement:
offstate pin_name off_state;
force_pi time;
bidi_force_pi time;
measure_po time;
bidi_measure_po time;
force pin_name time;
measure pin_name time;
pulse pin_name time width [, time width];
pulse_clock time width [, time width];
Note:
In timeplate_statement definitions, you can use timing variables instead of time values. For more
information, refer to “Timing Variables” on page 754.
• timeplate_name
A string that specifies the name of the timeplate.
Note:
The timeplate_name you specify should begin with an alphabetical character. If you want
the name to begin with a numerical character, you must put the name in quotation marks.
Note:
An "offstate" statement does not automatically force pin_name to its off state at time 0. For
that to occur, you must force or pulse pin_name appropriately in a procedure.
• force_pi time
A literal and integer pair that specifies the force time for all primary inputs.
• bidi_force_pi time
A literal and integer pair that specifies the force time for all bidirectional pins. This statement
enables the bidirectional pins to be forced after applying the tri-state control signal, so the system
avoids bus contention. This statement overrides "force_pi" and "measure_po".
• measure_po time
A literal and integer pair that specifies the time at which the tool measures (or strobes) the
primary outputs.
Note:
Capture clocks pulsing on the same cycle must have an overlapped clock waveform.
If they do not, the tool splits the capture cycle into two cycles. This results in an error
reporting that a measure_po event is absent.
• bidi_measure_po time
A literal and integer pair that specifies the time at which the tool measures (or strobes) the
bidirectional pins. This statement overrides "force_pi" and "measure_po".
Note:
This force time overrides the force time specified in force_pi for this specific pin.
Note:
This measure time overrides the measure time specified in measure_po for this specific
pin.
Note:
Multiple pulses are only supported for the following output formats: Verilog, WGL, STIL,
STIL2005, CTL, FJTDL, MITDL, and TSTL2. Additionally, the TSTL2 output format does
not support more than two pulses.
For MITDL format there is restriction that multiple pulse timing must be a cyclical repetition of the
first pulse. Consequently, multi-pulse and double-pulse timing in the procedure file only works in
the MITDL output without an error if the timing fits the restrictions of the MITDL syntax.
timing_variables =
tester_period = 10;
strobe_1 = (0.96 * tester_period);
t_time = (0.25 * tester_period);
t_width = (0.5 * tester_period);
end;
timeplate tessent_ijtag =
force_pi 0 ;
measure_po strobe_1;
force tck 0;
pulse_clock t_time t_width;
period tester_period;
end;
• period time
A literal and integer pair that defines the period of a tester cycle. This statement ensures that the
cycle contains sufficient time, after the last force event, for the circuit to stabilize. The time you
specify should be greater than or equal to the final event time.
Example 1
timeplate tp1 =
force_pi 0;
pulse T 30 30;
pulse R 30 30;
measure_po 90;
period 100;
end;
Example 2
The following example shows a shift procedure that pulses the b_clk pin with an off-state value of 0. The
timeplate tp_shift defines the off-state for the b_clk pin. The b_clk pin is not declared as a clock in the
ATPG tool.
timeplate tp_shift =
offstate b_clk 0;
force_pi 0;
measure_po 10;
pulse clk 50 30;
pulse b_clk 140 50;
period 200;
end;
procedure shift =
timeplate tp_shift;
cycle =
force_sci;
measure_sco;
pulse clk;
pulse b_clk;
end;
end;
Example 3
In the following example, the b_clk pin is not declared as a clock in the ATPG tool. However, the shift
procedure specifies that the pin is to be pulsed twice with an off-state of 0.
timeplate tp_shift =
offstate b_clk 0;
force_pi 0;
measure_po 10;
pulse clk 50 30;
pulse b_clk 40 50, 140 50;
period 200;
end;
procedure shift =
timeplate tp_shift;
Multiple-Pulse Clocks
You can use the pulse_clock statement to handle multiple-pulse clock timing and still use a single generic
timeplate definition as a template in various flows. The pulse_clock statement handles multiple-pulse
timing definitions in the same manner as the pulse statement.
timeplate tp1 =
force_pi 0;
measure_po 95;
pulse SlowClockA 20 50;
pulse_clock 30 30;
pulse_clock 13 25, 63 25;
pulse_clock 6 12, 31 12, 56 12, 81,12;
period 100;
end;
The following example shows a timeplate with one port-specific pulse and one pulse_clock statement
for 4x multiplier timing. Clocks other than "ClockA" that do not have a frequency multiplier use force_pi
timing. Inferred timing only occurs when clocks have frequency multipliers, which means other clocks still
use NRZ timing when you use multiple cycles to create the clock pulse.
timeplate tp1 =
force_pi 0;
measure_po 95;
pulse ClockA 20 50;
pulse_clock 6 12, 31 12, 56 12, 81, 12;
period 100;
end;
Inferred Timing
Based on the period of the timeplate, the tool creates inferred timing for frequency multiplied clocks when
there are no pulse_clock statements in the timeplate with the correct number of clock edges for the clock.
The total period of a clock pulse is the period of the timeplate divided by the frequency multiplier of the
clock. The offset for the leading edge of the first clock pulse is one-fourth of the period of the clock. For
example, the inferred timing for a 4x clock in a timeplate with a period of 200 is equivalent to the following
pulse_clock definition:
The first edge is one-fourth the period of the clock rounded to the nearest integer, and the width of the
pulse is one-half the period of the clock. Each subsequent edge is the previous leading edge plus the
period of the clock.
The tool bases the inferred timing for a 1x frequency-multiplied clock on any other clock timing found for a
single pulse clock; otherwise, it uses the inferred timing formula.
When you specify a timeplate period and a clock frequency multiplier that combine to create inferred
timing that is too small to be integer timing, the tool automatically reduces the procedure file timescale
and scales all timing numbers to larger values.
For example, suppose the timescale for the procedure file is 1ns, the period of the timeplate is 5, and the
clock frequency multiplier is 10. The tool automatically adjusts the timescale to 100ps and the period of
the timeplate becomes 50. It adjusts all of the other numbers accordingly. In this case, the tool multiplies
them by 10.
Always Block
This optional block definition specifies events that happen in all cycles of all procedures. Because the
always block specifies events for all cycles, it is used with all timeplates and does not require a timeplate
to be referenced in the block. Also, any signal that is pulsed in the always block must have a pulse
waveform in all timeplate definitions.
If you defined any pulse-always clocks using the add_clocks command, an always block is automatically
created in the procedure file, if one does not already exist, and a pulse statement added for each clock.
Similarly, if you pulse a clock signal in the always block, the signal is automatically defined as a pulse-
always clock. For more information, refer to the add_clocks description in the Tessent Shell Reference
Manual.
Note:
Pulse-always clocks are not automatically pulsed in a named capture procedure. The clocks must
be pulsed explicitly.
All events specified in the always block is subject to rules checks that apply to each procedure. In other
words, the events in the always block is added to each cycle of each procedure, and all DRC rules still
apply to these events.
When saving patterns that preserve the structure of the procedures as macros (such as the CTL
pattern file, or structural STIL pattern file), the events in the always block is placed in the cycles of each
procedure. The always block is not present in the structural pattern file as a macro or procedure.
always =
always_statement ;
[always_statement ; ... ]
end ;
pulse pin_name ;
force pin_name value ;
timeplate tp1 =
force_pi 0 ;
measure_po 10 ;
pulse ref_clk 20 20, 60 20 ;
pulse shift_clk 50 20 ;
period 100 ;
end ;
procedure shift =
timeplate tp1 ;
cycle =
force_sci ;
measure_sco ;
pulse shift_clk ;
end ;
end ;
Procedure Definition
The procedure definition is the heart of the procedure file. The procedure defines precisely how the scan
circuitry operates.
All procedure definitions contain one or more cycle definitions. Each cycle definition in the procedure
specifies a vector; each statement in the cycle specifies which events occur in that vector. The timeplate
being used specifies any timing associated with that vector. The following is a list of rules for writing
procedure definitions:
• If more than one timeplate is defined, you can assign a specific timeplate for each procedure
definition or for each cycle within the procedure definitions. You must assign a timeplate at some
point within a procedure definition.
• You must group all procedure statements, except scan_group, timeplate, apply, and loop, into
cycle statements.
• The order of events within a cycle definition does not matter. The assigned timeplate specifies the
order.
• Each scan group needs a unique test procedure file. You associate the test procedure file with
the scan group when you specify the add_scan_groups command.
• You define a procedure type for a particular scan group (with the exception of the
seq_transparent and clock procedures) only once in a test procedure file.
• You can only have a single test_setup procedure, even if you define multiple scan groups for your
design.
The procedure definition has the following general format, but certain statements are restricted to certain
procedures.
proc_statement:
[timeplate timeplate_name;]
cycle =
cycle_statement [cycle_statement …]
end;
annotate "quoted string";
apply proc_name #times;
loop loop_count =
cycle =
cycle_statement [ cycle_statement …]
end;
end;
cycle_statement:
force_pi;
bidi_force_pi;
force_sci;
force_sci_equiv;
measure_po;
bidi_measure_po;
measure_sco;
restore_pi;
restore_bidi;
bidi_force_off;
pulse_capture_clock;
force_capture_clock_on;
force_capture_clock_off;
pulse_read_clock;
pulse_write_clock;
force pin_name value;
expect pin_name value;
condition cell_name value;
measure pin_name;
initialize instance_name [value];
pulse pin_name;
timeplate timeplate_name;
annotate "quoted string";
• procedure_type
A string that specifies the type of procedure that follows. The following list contains valid
procedures types:
◦ shadow_control
• proc_name
An optional string that specifies the user-defined name of the procedure. Because you can
specify multiple seq_transparent and clock procedures in a test procedure file, these procedure
types require explicit procedure names, proc_name, for each procedure that you define.
Note:
The proc_name you specify should begin with an alphabetical character. If you want the
name to begin with a numerical character, you must put the name in quotation marks.
• scan_group scan_group_name
A literal and string pair that specifies a scan group within a scan procedure. Because some of the
scan procedures are scan group specific, you can specify scan groups within scan procedures.
This makes it possible to define the scan procedures (shift, load_unload) for multiple scan groups
within the same procedure file. You can then specify this file on the add_scan_groups command
for each scan group in this file. If you use the read_procfile command to read a procedure file,
you must include this statement. However, if you use the add_scan_groups command, this
statement is optional because the group is specified on the command line. When the tool writes
out a procedure file, it produces the scan_group statement.
Note:
The scan_group_name argument is case-sensitive if the netlist used is case-sensitive.
• timeplate timeplate_name
A literal and string pair that specifies the name of the timeplate the procedure uses.
A timeplate statement at the beginning of the procedure, outside of the cycle definitions, is the
timeplate used by the entire procedure, if no other timeplates are referenced.
A timeplate statement within a cycle is the timeplate used for that cycle and all other subsequent
cycles until another timeplate statement is encountered. For more information about timeplates,
refer to “Timeplate Definition” on page 757.
Note:
The timeplate_name you specify should begin with an alphabetical character. If you want
the name to begin with a numerical character, you must put the name in quotation marks.
CYCLE =
[ TIMEPLATE tp_name ; ]
[ ANNOTATE "quoted string" ; ]
event_statement;
…
END ;
The following is an example of using the annotate statement outside of a cycle block:
procedure test_setup =
timeplate tp1 ;
annotate "Before first cycle" ;
cycle =
…
end;
annotate "start sub procedure" ;
apply mySub 1 ;
…
end;
The following is an example of an annotate statement used in a test_setup procedure, and how
this appears in a STIL pattern file.
Procedure test_setup =
timeplate tp1;
cycle =
annotate "first cycle in test_setup" ;
force reset 1;
force clock 0;
end;
cycle =
annotate "next annotation" ;
force reset 0;
end;
…
W tset_tp1;
V { _pi_ = 0X11XXX;
_po_ = XXXX;
}
Ann {* Begin chain test *}
Ann {* first cycle in test_setup *}
V { …
}
Ann {* next annotation *}
V { …
}
procedure test_setup =
timeplate tp1 ;
annotate "TESSENT_PRAGMA simulation_only begin";
cycle =
…
end;
iCall …;
…
annotate "TESSENT_PRAGMA simulation_only end";
…
end;
Note:
The ALL_IGNORE_SIMULATION_ONLY parameter keyword can affect which output
files contain simulation_only directives. For more information about the simulation_only
directive, refer to the description of the <format_switch> parameter in the write_patterns
command in the Tessent Shell Reference Manual.
• label "quoted_string";
A literal and string pair for including pattern labels in saved patterns. As with the annotation
statement, you can have one label statement per cycle in a procedure definition. The
quoted_string becomes a pattern label for the vector that corresponds to that procedure cycle.
Only the STIL and WGL pattern formats support a pattern label statement. For pattern file formats
that don't support a pattern label, the label is present as an annotation statement that has the
string "label:" added at the beginning of the label string.
For the simulation testbench, the label is also present as an annotation that has the string "label:"
added at the beginning, and the annotation is echoed when the patterns are simulated. You
can use the existing parameter file keyword SIM_ANNOTATE_QUIET to turn off echoing the
annotations and labels while simulating.
Each pattern label is a unique identifier, with its vector count appended to the end of the label
string.
This statement can be used at the start of any cycle, just like an annotation statement. A cycle
cannot contain both a label and an annotation statement.
The following example shows how to use the label statement within the test_setup procedure:
procedure test_setup =
timeplate my_tp;
cycle =
force my_sig 0;
end;
cycle =
label "end of test_setup" ;
force my_sig 1;
end;
end;
The previous example produces the following STIL vectors:
V { _pi_ = …;
}
"end of test_setup_1": V { _pi_ = …;
}
• loop loop_count
A literal and integer pair that specifies the loop count and is followed by a block of statements.
The loop procedure statement takes the loop count and causes all cycles within the loop block
to be repeated by the number of times specified by the count. For example, the following test
procedure file excerpt specifies 3 cycles within the loop that are each repeated 20 times:
procedure test_setup =
timeplate tp1 ;
cycle =
force_pi;
measure_po;
end;
loop 20 =
cycle =
…
end;
cycle =
pulse tck;
end;
cycle =
Nesting loops within other loops is permitted. For example, the following test procedure file
excerpt causes tck to be pulsed 20 times and clk_a to be pulsed 100 times:
procedure test_setup =
timeplate tp1 ;
cycle =
force_pi;
measure_po;
end;
loop 20 =
cycle =
…
end;
cycle =
pulse tck;
end;
loop 5 =
cycle =
pulse clk_a;
end;
end; // end inside loop
cycle =
…
end;
end; // end outside loop
end;
This statement can be used in procedures but must be specified outside of the cycle statement.
The loop statement is preserved in the flat model when the tool writes the model and is also
present in the TCD files.
When writing out the patterns in tester pattern formats, the loops are preserved where
possible, and unrolled if the syntax of the pattern file does not support loops. Specifying the
ALL_NO_LOOP parameter keyword unrolls loops in the pattern files in similar fashion to sub
procedures that are applied more than once. Using the loop statement to repeat a certain
number of cycles N times is exactly equivalent to putting those cycles within a sub procedure and
applying that procedure N times.
• start_pulse pin_name
A literal and string pair that specifies for the tool to start pulsing the named clock pin in every
cycle, starting with the next cycle encountered. This enables you to specify that a clock that is not
a pulse_always clock should be pulsed in every cycle of an iCall statement. It also enables you to
restart a pulse_always clock that has been temporarily suppressed with the stop_pulse keyword.
This keyword can also be used inside a cycle_statement.
• stop_pulse pin_name
A literal and string pair that specifies for the tool to stop pulsing the named clock pin, starting
with the next cycle encountered. This enables you to suppress a pulse_always clock for a known
number of tester cycles until you restart it with the start_pulse keyword. It also enables you to
stop a clock started with the start_pulse keyword when you no longer need it to be pulsed.
This keyword can also be used inside a cycle_statement.
• cycle_statement
The following list describes valid cycle_statement keywords. Cycle_statements cannot contain
time values.
◦ force_pi
A literal that specifies for the tool to force all primary inputs.
◦ bidi_force_pi
A literal that specifies for the tool to force all bidirectional pins.
◦ force_sci
A literal that specifies for the tool, in the shift procedure, to place values on the scan chain
inputs, thus implementing scan cell controllability.
◦ force_sci_equiv
A literal that acts the same as the force_sci statement, except that it also forces all pins
equivalent to the scan input pins. Using this statement places the complement value on the
associated differential pin of a scan input during scan loading. This statement is necessary
because the test procedures do not consider pin equivalence relationships (those specified
with add_input_constraints -equivalent).
◦ measure_po
A literal that specifies for the tool to measure or strobe the primary outputs.
◦ bidi_measure_po
A literal that specifies for the tool to measure or strobe the bidirectional pins.
◦ measure_sco
A literal that specifies for the tool, in the shift procedure, to measure scan output values, thus
implementing scan cell observability. In End Measure Mode (refer to “Creating Test Procedure
Files for End Measure Mode” on page 813), measure_sco is also used in the load_unload
procedure.
◦ restore_pi
A literal that returns primary inputs to their original states (before running this procedure). Use
the restore_pi statement at the end of a seq_transparent procedure.
◦ restore_bidi
A literal that returns bidirectional pins to their original states (before running this procedure).
Use the restore_bidi statement at the end of a "clock" procedure.
◦ bidi_force_off
A literal that specifies for the tool to force all unconstrained bidirectional pins off.
◦ pulse_capture_clock
A literal that specifies for the tool to pulse the capture clock.
◦ force_capture_clock_on
A literal that specifies the cycle when the capture clock goes active. This statement and
the force_capture_clock_off statement can be used in place of the pulse_capture_clock
statement.
The "_on" refers to the active state of the clock, which is not necessarily the high binary
value. This statement is used only with the non-scan procedures and cannot be mixed with
the following statements in the same procedure:
• pulse_capture_clock
• pulse_write_clock
• pulse_write_clock
◦ force_capture_clock_off
A literal that specifies the cycle when the capture clock goes inactive. This statement and
the force_capture_clock_on statement can be used in place of the pulse_capture_clock
statement.
The "_off" refers to the inactive state of the clock, which is not necessarily the low binary
value. This statement is used only with the non-scan procedures and cannot be mixed with
the following statements in the same procedure:
• pulse_capture_clock
• pulse_write_clock
• pulse_read_clock
◦ pulse_read_clock
A literal that specifies for the tool to pulse the RAM read clock.
◦ pulse_write_clock
A literal that specifies for the tool to pulse the RAM write clock.
A literal and pair of strings that forces the specified value of 0, 1, X, or Z on the specified pin.
The pin names you specify must be valid pin pathnames for primary inputs.
◦ measure pin_name
A literal and string pair that specifies for the tool to measure the value of the named pin. You
can use a "measure" statement in the capture procedure only to specify a measure on a pin
in a different cycle than the measure_po event.
◦ pulse pin_name
A literal and string pair that specifies for the tool to pulse the named clock pin.
◦ start_pulse pin_name
A literal and string pair that specifies for the tool to start pulsing the named clock pin in every
cycle. This enables you to specify that a clock that is not a pulse_always clock should be
pulsed in every cycle of an iCall statement. It also enables you to restart a pulse_always clock
that has been temporarily suppressed with the stop_pulse keyword.
This keyword can also be used outside of a cycle_statement. In this case, it takes effect
starting with the next cycle encountered.
◦ stop_pulse pin_name
A literal and string pair that specifies for the tool to stop pulsing the named clock pin. This
enables you to suppress a pulse_always clock for a known number of tester cycles until you
restart it with the start_pulse keyword. It also enables you to stop a clock started with the
start_pulse keyword when you no longer need it to be pulsed.
This keyword can also be used outside of a cycle_statement. In this case, it takes effect
starting with the next cycle encountered.
◦ observe_method value
A literal and string pair set to a value of master, slave, or shadow, to specify for a specific
observe method to be defined for each named capture procedure.
The following example shows how to use a cycle_statement to force scan inputs and measure
scan outputs:
procedure shift =
scan_group grp1;
timeplate tp1;
cycle =
force_sci;
measure_sco;
pulse T;
end;
end;
Test Procedures
The test procedure file contains scan and clock procedures, and non-scan procedures. The scan and
clock-related procedures inform the tool how to operate the scan chain and pulse clocks. The non-scan
procedures can represent any type of pattern that the tool produces.
You can use the non-scan procedures to specify in which cycles of the procedure "potential events"
happen. A potential event is an event that the ATPG engine may or may not have created to cover a
certain fault.
To avoid DRC violations, each non-scan procedure must contain the proper statements in the correct
order with the timing from the timeplate. The statements in a non-scan procedure can be spread over any
number of cycles using a different timeplate for each cycle if needed.
A basic pattern consists of loading the scan chains, a default capture procedure, followed by unloading
the scan chains; however, you do not specify the loading and unloading of scan chains in non-scan
procedures. The following shows the basic pattern for non-scan procedures.
All example procedures shown in this section use one of the following two timeplates, unless otherwise
stated:
timeplate tp1 =
force_pi 0;
measure_po 10;
pulse scan_clk 30 10;
pulse sys_clk 30 10;
period 50;
end;
timeplate tp2 =
force_pi 0;
measure_po 10;
pulse scan_mclk 15 10;
pulse scan_sclk 30 10;
period 50;
end;
Test_Setup (Optional)
Shift (Required)
Alternate Shift Procedure (Optional)
Load_Unload (Required)
Shadow_Control (Optional)
Master_Observe (Sometimes Required)
Test_Setup (Optional)
This optional procedure, which can only contain force, pulse, init, and expect event statements, sets non-
scan elements to the required states for the load_unload procedure. You may use this procedure only
once for all scan groups, and it appears only once at the beginning of the test pattern set.
This procedure is particularly useful for initializing boundary scan circuitry. For an example using this
procedure to set up boundary scan circuitry, refer to "Pattern Generation for a Boundary Scan Circuit" in
the Tessent Scan and ATPG User’s Manual.
Note:
Use the read_modelfile command instead of this procedure to specify the initial values for RAMs.
Pin Constraints
If you use the add_input_constraints command to set pin constraints, be aware this command only
forces pins during capture. To constrain these pins during test_setup, you should include the same pin
constraints in the test_setup procedure. This ensures the pins are in the same state for loading the first
pattern as for loading all subsequent patterns.
If you do not properly constrain the pins prior to the end of the test_setup procedure, the tool
automatically constrains them by inserting a cycle statement in the test_setup procedure. However, this
automatic handling may not insert the events with the timing you want. Also, the automatic handling is not
included in DRC.
If you have defined input constraints but have not provided a test_setup procedure, the tool automatically
generates a test_setup procedure to force those pins to their constrained values.
You can use both the write_procfile and the report_procedures commands to view the contents of the
test_setup procedure the tool has generated. The write_procfile command writes existing procedure and
timing data to a specified file. The report_procedures command writes the information to the screen.
Example 1
The following is an example using a sub_procedure. In this example, the signal named C retains its value
of 1 during the test unless it is forced to a different value in a later cycle, by another procedure, or it is
overwritten by WGL patterns.
The following example shows how to apply the previous sub_procedure. For more information, refer to
“Sub_procedure” on page 810.
procedure test_setup =
timeplate soc_timeplate;
cycle =
force test_en 1; // force test_en 1
force chip_en 0; // force chip_en to 0
end;
apply initialize 10; // force C to 1 for 10 cycles
end;
Example 2
The following example shows a way to reset a memory. The RST signal is active for the first 128 cycles,
then it is deactivated in the next cycle (cycle 129).
Example 3
The following example shows a way to use an expect statement in a test_setup procedure. The output
signal (DFT) is expected to be 1 in the first cycle and X in the remaining cycle. An "expect" statement
does not work the same as a force or pulse statement. When none is present, it means do not measure.
procedure test_setup =
timeplate soc_timeplate;
cycle =
expect DFT 1;
end;
end;
Example 4
This example shows a way to start pulsing a clock in a test_setup procedure. The SYSCLK starts pulsing
at cycle number 2 until the end of test.
timeplate soc_timeplate =
force pi;
measure_po 90;
pulse SYSCLK 50 50;
period 100;
end;
procedure test_setup =
timeplate soc_timeplate;
cycle =
force RST_L 0;
end;
cycle =
pulse SYSCLK;
end;
end;
Shift (Required)
This required procedure describes how to shift data one position down the scan chain by forcing the scan
input, toggling the clocks, and strobing the scan output.
Figure 188 shows the data flow process for the shift procedure.
Within this procedure, you must use the force_sci, or force_sci_equiv, and the measure_sco event
statements. You can also use the force and pulse event statements. A shift procedure can contain more
than one cycle, although not all pattern formats can support multiple cycles and parallel load. Pattern
formats that do not support multiple cycles are any parallel format other than STIL and Verilog. If you
use write_patterns to write out one of these other parallel formats with a multicycle shift procedure, the
command generates an AG11 error.
The times at which the timeplate used by the shift procedure applies the force_sci and measure_sco
commands must be consistent with proper operation of the load_unload procedure. The measure_sco
occurs at the measure_po time specified in the timeplate. The force_sci occurs at the force_pi time
specified in the timeplate.
The following are examples of the shift procedure for both mux-DFF and LSSD architectures.
Mux-DFF Example
procedure shift =
timeplate tp1;
cycle =
// force scan chain input
force_sci;
// measure scan chain output
measure_sco;
// pulse the scan clock
pulse scan_clk;
end;
end;
LSSD Example
procedure shift =
timeplate tp2;
cycle =
// force scan chain input
force_sci;
// measure scan chain output
measure_sco;
// pulse master clock
pulse scan_pclk;
// pulse slave clock
pulse scan_sclk;
end;
end;
Figure 189 graphically displays the waveforms for the clock pin, the scan-in pin, and the scan-out pin
derived from the Mux-DFF shift procedure example. This timing diagram shows one scan chain shift
cycle, assuming the time unit is 1ns.
The procedure contains four scan events: forces scan input values at 0ns, strobes (or measures) scan
output values at 10ns, pulses the scan clock scan_clk (turning it on at 30ns and off at 40ns), and holds
the state of the last event until the procedure finishes at 50ns.
A timing clock monitors when each significant event occurs. If the timing clock is at X when the shift
procedure begins, the timing clock assigns those four events with time values X, X+10, X+30, and X
+40. When the shift procedure finishes, the timing clock advances to X+50. The shift cycle ending time
becomes the starting time for the next shift cycle.
Syntax
Example
The following is a partial example of how the alternate shift procedure might be used in a procedure file
for a scan chain with a length of 100.
timeplate tp1 =
force_pi 0;
measure_po 10;
pulse ref_clk 50 50;
period 100;
end;
procedure shift =
timeplate tp1;
scan_group grp1;
cycle =
force ctrl_a 1;
force_sci;
measure_sco;
pulse ref_clk;
end;
end;
procedure shift shift_last =
timeplate tp1;
scan_group grp1;
cycle =
force ctrl_a 0;
force_sci;
measure_sco;
pulse ref_clk;
end;
end;
procedure load_unload =
timeplate tp1;
scan_group grp1;
cycle =
force ref_clk 0;
force scan_en 1;
force ctrl_a 1;
end;
apply shift 98;
apply shift_last 1;
apply shift_last 1;
end;
Load_Unload (Required)
This required procedure describes how to load and unload the scan chains in the scan group. To load the
scan chain, you must force the circuit into the appropriate state for the start of the shift sequence. This
includes forcing clocks, resets, RAM write control signals, and any other signals that need to be at their
off-states for scan chain loading. Also, if a reset signal is defined as a clock, and pin constrained to its
off-state in the dofile, it needs to again be forced to its off-state in the load_unload and named capture
procedures in order to avoid a P34 DRC.
The tool automatically adds the off-state for clock pins, constrained pin values, and other pins that have
values forced in the test_setup procedure as force statements to the beginning of the load_unload
procedure (if not present). This helps reduce DRC failures.
Figure 190 shows the data flow for the load_unload procedure.
If the scan out pin is bidirectional, you must force its value to the Z state (indicating it is operating in
"output" mode) to properly sensitize the scan chain. If there is a scan enable signal, you must force it on
to enable the scan chain prior to the shift. You then use the apply shift statement to specify the number of
shift cycles (which equals the number of scan elements in the chain). If you have optionally included the
shadow_control procedure (which, if used, immediately follows the shift procedure), you must also include
the apply command.
The following list includes the basic statements in the load_unload procedure:
Mux-DFF Example
procedure load_unload =
timeplate tp1;
cycle =
// force clocks off
force RST 0;
force CLK 0;
// activate scanning mode
force scan_en 1;
end;
// shift data thru each of 7 cells
apply shift 7;
end;
LSSD Example
procedure load_unload =
timeplate tp2;
cycle =
// force all clocks off
force RST 0;
force CLK 0;
force scan_sclk 0;
force scan_mclk 0;
end;
// apply shift procedure 7 times
apply shift 7;
end;
The timing for the load_unload procedure is generally straightforward. The load_unload procedure
contains the apply statement. Therefore, the total time for a load_unload procedure includes the time
specified by the timeplate being used plus the time required to run the apply cycles.
For example, examine the following load_unload procedure, using the Example of a shift procedure in
“Alternate Shift Procedure (Optional)” on page 782.
procedure load_unload =
timeplate tp1;
cycle =
force RST 0;
force CLK 0;
force scan_en 1;
end;
apply shift 1;
end;
The timeplate of the load_unload procedure specifies the period is 50 ns. However, the load_unload
procedure includes an apply statement that runs one shift procedure. The shift procedure requires an
additional 50 ns. Thus, the load_unload procedure actually requires a total time of 100 ns, as shown in
Figure 191.
Within the load_unload procedure, after the completion of the cycle block, the shift procedure starts at 50
ns, runs for 50 ns, and ends at 100 ns. Thus, the load_unload procedure also ends at 100 ns.
As with the shift procedure, the timing clock determines the event times for the load_unload procedure. If
the timing clock is at Y when the load_unload procedure begins, the first three events happen at time Y.
When the apply cycle runs, the timing clock advances to Y+50, which is when the shift procedure begins.
As mentioned previously, the shift procedure requires 50 time units. Therefore, when the apply cycle
finishes, the timing clock reads Y+100.
Because it is the last event in the load_unload procedure, the end of the apply cycle determines the end
of the load_unload procedure.
Shadow_Control (Optional)
The optional shadow_control procedure, which may only contain force and pulse event statements,
describes how to load the contents of a scan cell into the associated shadow.
Note:
This procedure is not supported when using SSN.
If you use this procedure, you must also apply the shadow_control command in the load_unload
procedure. This procedure must not disturb the contents of any of the scan cells. Figure 192 shows the
data flow for the shadow_control procedure.
The following procedure file example demonstrates the syntax for applying a shadow_control procedure
within a load_unload procedure:
proc shift =
force_sci 0;
measure_sco 0;
force SK2 1 1;
force SK2 0 2;
force SK1 1 3;
force SK1 0 4;
end;
proc load_unload =
force WE 0 0;
force ABC 1 0;
force COMB_B 1 0;
force SK2 0 0;
force CLK 0 0;
force SK1 0 0;
force sh_clk 0 0;
apply shift 5 1;
apply shadow_control 1 2;
end;
proc shadow_control =
force sh_clk 1 1;
force sh_clk 0 2;
end;
proc master_observe =
force WE 0 0;
force SK2 0 0;
force CLK 0 0;
proc shadow_observe =
force WE 0 0;
force EN 1 0;
force SK2 0 0;
force CLK 0 0;
force SK1 0 0;
force sh_clk 0 0;
force CLK 1 1;
force CLK 0 2;
force SK1 1 3;
force SK1 0 4;
end;
You do not need to use this procedure if the master element’s output is the output of the scan cell.
The D1 rule ensures that this procedure does not disturb the master memory element’s contents.
You can override this requirement by changing the D1 rule handling. The following example shows a
master_observe procedure for the LSSD architecture:
Shadow_Observe (Optional)
The optional shadow_observe procedure, which may only contain force and pulse event statements,
describes how to place the contents of a shadow into the output of its scan cell, assuming that data can
be transfered in this way in the scan cell. Once the data is at the scan cell output, you can observe it by
applying the unload command. This procedure enables the shadow to be used as an observation point in
the design.
Note:
This procedure is not supported when using SSN.
Skew_Load (Optional)
The optional skew_load procedure propagates the output value of the preceding scan cell into the master
memory element of the current cell without changing the slave, for all scan cells. Using only force and
pulse event statements, this procedure defines how to apply an additional pulse of the master shift clock
after the scan chains are loaded.
Figure 195 shows the data flow of the skew_load procedure.
Figure 196 shows where you apply the skew_load procedure and the master_observe procedure within
the basic scan pattern events.
Clock_Control (Optional)
You can manually create clock control definitions in the test procedure file.
For complete information about when you use this definition, refer to "Support for Internal Clock Control"
in the Tessent Scan and ATPG User’s Manual.
ATPG Restrictions
The following restrictions apply to ATPG when clock control definitions are enabled:
• In undefined cycles, the internal clock is assumed to be off, even if the source clock pulses.
• Source clocks are pulsed regardless of clock restrictions. You should define explicitly any false
paths using DC or the add_false_paths command.
• External clocks without clock control definitions are controlled through top-level pins.
• Clock control definitions applied to a clock defined as equivalent also apply to all associated
equivalent clocks.
• If you use the "set_clock_restriction -same_clocks_between_loads" command, you must use one
of the following definitions to pulse the controlled clock:
◦ {ATPG_SEQUENCE, END}
◦ {ATPG_SEQUENCE <N> <M>, END} with N starting from 0. The generated test pattern
includes M+1 capture cycles between the scan loading operation and the scan unloading
operation.
• Global control conditions and source clocks defined for equivalent clocks must be the same.
• When a clock is forced off, it cannot be used as a source in the same definition.
• You must specify a condition to turn on the internal clock; otherwise, it is assumed to be off.
• When multiple sequence clock control definitions are defined for the same clock, they must use
mutually exclusive pulse conditions as follows:
◦ The clock control condition to pulse a clock in sequence mode must be mutually exclusive
with the clock control condition for the same clock in per-cycle mode.
◦ The condition to pulse a clock in sequence mode must be mutually exclusive with the
condition to pulse the same clock by using another sequence mode.
Keywords
The following is a list of keywords used in clock control statement:
• ATPG_CYCLE cycle_number
A literal and integer pair that specifies a test pattern capture cycle to map the clock control to. The
specified capture cycle values start from 0, which corresponds to the first capture cycle after scan
loading.
Multiple ATPG_CYCLE definitions can be declared to pulse the internal clock at the same capture
cycle with different sets of conditions.
Use a FORCE statement to turn the clock off, or the clock continues to pulse when the conditions
are satisfied.
The specified and actual capture cycles may differ—refer to "Capture Cycle Determination" in the
Tessent Scan and ATPG User’s Manual.
• ATPG_SEQUENCE N M
A literal and an integer pair that specify a range of capture cycles for clock pulsing from N to M
consecutively.
Define the condition to pulse the clock continuously from capture cycle N (N>=0) to capture cycle
M (M>=N) right after scan loading. If N is greater than 0, the clock is automatically set to off state
from the first capture cycle right after scan loading to the capture cycle N -1. When the generated
test pattern includes more than M capture cycles after scan loading, the clock is set to off state
from the M +1 capture cycle to the last capture cycle.
• ATPG_SEQUENCE
A literal that specifies clock pulsing. When a condition list is provided, the controlled clock pulses
in all capture cycles in the pattern when the conditions are met. When checking the off conditions
for cycles outside the capture window, the conditions listed in this special atpg_sequence are
ignored.
When there is no condition list, the controlled clock pulses unconditionally in every capture cycle
between scan loading.
You can declare multiple ATPG_SEQUENCE definitions, as necessary.
Use a FORCE statement to turn the clock off, or the clock continues to pulse when the conditions
are satisfied.
The specified and actual capture cycles may differ—refer to "Capture Cycle Determination" in the
Tessent Scan and ATPG User’s Manual.
• CLOCK_CONTROL pin_pathname
A literal and string value that specifies the pin pathname of the PI for the internal clock. The
specified pin must be an existing clock. You must define internal clocks using the add_clocks
command.
• SOURCE_CLOCK pin_pathname...
A literal and repeatable string that specifies one or more source clocks to drive the internal clock
logic to pulse in the specified capture cycles. If no source clock is specified, the source clock is
assumed to be an always-capture clock that pulses in every capture cycle.
• FAST_CAPTURE_STAGGERED_GROUP group_number
A literal and integer pair that specifies the encoding of the fast capture staggered
group register. Group numbering starts at 0. CONDITION statements in each
FAST_CAPTURE_STAGGERED_GROUP wrapper define the fast capture staggered group
register value that assigns a source clock to that group. The ATPG tool determines this value
Note:
The tool treats any clock controls for which no fast capture staggered group register is
defined as though it is always in the first staggering group (0). You cannot pulse these
clocks in any other staggering group.
• END
Required literal the specifies the end of an ATPG_CYCLE or ATPG_SEQUENCE block, or at the
end of the clock control definition.
CLOCK_CONTROL /clk_ctrl/int_clk1 =
SOURCE_CLOCK ref_clk;
CONDITION /clk_ctrl/enable_1/q 0;
CONDITION /clk_ctrl/enable_2/q 0;
ATPG_CYCLE 0 =
CONDITION /clk_ctrl/F0/q 1;
END;
CLOCK_CONTROL /clk_ctrl/int_clk1 =
SOURCE_CLOCK ref_clk;
ATPG_CYCLE 0 =
CONDITION /clk_ctrl/F0/q 1;
CONDITION /clk_ctrl/enable_1/q 0;
CONDITION /clk_ctrl/enable_2/q 0;
END;
ATPG_CYCLE 1 =
CONDITION /clk_ctrl/F1/q 1;
CONDITION /clk_ctrl/enable_1/q 0;
CONDITION /clk_ctrl/enable_2/q 0;
END;
ATPG_CYCLE 2 =
CONDITION /clk_ctrl/F2/q 1;
CONDITION /clk_ctrl/enable_1/q 0;
CONDITION /clk_ctrl/enable_2/q 0;
END;
ATPG_CYCLE 3 =
CONDITION /clk_ctrl/F3/q 1;
CONDITION /clk_ctrl/enable_1/q 0;
CONDITION /clk_ctrl/enable_2/q 0;
END
END;
The previous example demonstrates the importance of ensuring that global conditions do not conflict
with local conditions. To further illustrate this point, consider the following incorrect definition of global
conditions:
On the surface, it may appear correct that the condition in ATPG_CYCLE 0 overrides the global condition
while the other cycles can still be satisfied. However, after the global condition is expanded to all cycles,
the clock control definition looks like this:
You can now observe that it would not be possible to pulse the clock in ATPG_CYCLE 0 while also
pulsing it in any other cycle. The tool can load only one value into /clk_ctrl/F0, so it can either pulse the
clock in cycle 0 by loading a 1 or pulse it in another cycle by loading a 0.
CLOCK_CONTROL /top/core1/clk1 =
ATPG_CYCLE 0 =
CONDITION /pll_ctl/cell_0/Q 1;
END;
ATPG_CYCLE 1 =
CONDITION /pll_ctl/cell_1/Q 1;
CONDITION /pll_ctl/cell_4/Q 0;
//both conditions must be satisfied for clock to pulse in
//capture cycle 1
END;
END;
CLOCK_CONTROL /top/core1/clk2 =
ATPG_CYCLE 0 =
CONDITION /pll_ctl/cell_2/Q 1;
CLOCK_CONTROL /top/core1/clk1 =
SOURCE_CLOCK clk_src;
ATPG_SEQUENCE 0 1 =
// Pulses 2 consecutive cycles if the scan cell
// is loaded with 1, and the source clock is pulsed.
CONDITION /pll_ctl/cell_1/Q 1;
END;
END;
CLOCK_CONTROL /top/core1/clk2 =
SOURCE_CLOCK clk_src;
ATPG_SEQUENCE 0 1=
CONDITION /pll_ctl/cell_2/Q 1;
END;
END;
The following example defines sequence clock control for two internal clocks (/top/core/clk1 and /top/
core1/clk2) derived from the source clock clk_src. The clock pulses in all capture cycles when the
conditions are met.
CLOCK_CONTROL /top/core1/clk1 =
SOURCE_CLOCK clk_src;
ATPG_SEQUENCE =
// Pulse clock in all capture cycles if the scan cell
// is loaded with 1, and the source clock is pulsed.
CONDITION /pll_ctl/cell_1/Q 1;
END;
END;
CLOCK_CONTROL /top/core1/clk2 =
SOURCE_CLOCK clk_src;
ATPG_SEQUENCE =
CONDITION /pll_ctl/cell_2/Q 1;
END;
END;
The following example pulses clock /top/core1/clk1 unconditionally in every capture cycle between scan
loading:
CLOCK_CONTROL /top/core1/clk1 =
ATPG_SEQUENCE =
// empty body
END;
END;
CLOCK_CONTROL /top/core/clk1_int =
SOURCE_CLOCK /clk1;
ATPG_SEQUENCE 0 2 =
CONDITION /pll/ctl_1/Q 1;
FORCE ENABLE_1 1;
END;
ATPG_SEQUENCE 3 4 =
CONDITION /pll/ctl_1/Q 0;
FORCE ENABLE_1 1;
END;
END;
Exclusive conditions ensure that only one sequence block is applied per capture cycle (otherwise, no
sequence is applied). If no cycle numbers are specified for sequence clock control, the clock pulses in
every capture cycle when conditions are loaded.
CLOCK_CONTROL /top/core1/clk1 =
ATPG_CYCLE 0 =
CONDITION /pll_ctl/cell_1/Q 1;
END;
ATPG_CYCLE 0 =
CONDITION /pll_ctl/cell_2/Q 1;
END;
END;
The previous example shows that /top/core1/clk1 can be pulsed in ATPG_CYCLE 0 when any set of the
specified conditions are met. This demonstrates the case where loading a '1' into either /pll_ctl/cell_1 or /
pll_ctl_cell_2 pulses the clock in cycle 0.
Similarly, the following example defines multiple sets of conditions for the same sequence of cycles,
which can overlap. The sequence of cycles must have mutually exclusive conditions to ensure conditions
for each ATPG_SEQUENCE can be satisfied without conflicting with other sequences.
CLOCK_CONTROL /top/core1/clk1 =
ATPG_SEQUENCE 0 2 =
CONDITION /pll_ctl/cell_1/Q 1;
CONDITION /pll_ctl/cell_2/Q 0;
CONDITION /pll_ctl/cell_3/Q 0;
END;
timeplate _default_WFT_ =
force_pi 0 ;
measure_po 40 ;
pulse clk1 45 10;
pulse ref_clock 15 5, 40 5, 65 5, 90 5;
pulse clocks_02/my_controller/U2/Z 45 10;
pulse clocks_03/my_controller/U2/Z 45 10;
pulse clocks_04/my_controller/U2/Z 45 10;
period 100 ;
end;
procedure capture =
timeplate _default_WFT_;
cycle =
force_pi ;
measure_po ;
pulse_capture_clock ;
end;
end;
In this example, for one pulse of clk1, there are 4 pulses of ref_clock, specifically the ref_clock frequency
is 4 times the frequency of clk1.
CLOCK_CONTROL /clk_ctrl/fast_clk1_gated =
SOURCE_CLOCK fast_clk1;
FAST_CAPTURE_STAGGERED_GROUP 0 =
CONDITION /clk1_occ_inst/occ_control/fast_capture_staggered_group_reg[0] 0;
CONDITION /clk1_occ_inst/occ_control/fast_capture_staggered_group_reg[1] 0;
END;
CLOCK_CONTROL /clk_ctrl/fast_clk2_gated =
SOURCE_CLOCK fast_clk2;
FAST_CAPTURE_STAGGERED_GROUP 0 =
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[0] 0;
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[1] 0;
END;
FAST_CAPTURE_STAGGERED_GROUP 1 =
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[0] 1;
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[1] 0;
END;
FAST_CAPTURE_STAGGERED_GROUP 2 =
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[0] 0;
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[1] 1;
END;
FAST_CAPTURE_STAGGERED_GROUP 3 =
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[0] 1;
CONDITION /clk2_occ_inst/occ_control/fast_capture_staggered_group_reg[1] 1;
END;
ATPG_CYCLE 0 =
CONDITION /clk2_occ_inst/occ_control/ShiftReg/FF_reg[0]/q 1;
END;
ATPG_CYCLE 1 =
CONDITION /clk2_occ_inst/occ_control/ShiftReg/FF_reg[1]/q 1;
END;
END;
In the preceding example, to assign the clock "fast_clk1" to group 0, ATPG must set both
fast_capture_staggered_group_reg[0] and fast_capture_staggered_group_reg[1] to 0.
To assign it to group 1, ATPG must set fast_capture_staggered_group_reg[0] to 1 and
fast_capture_staggered_group_reg[1] to 0, and so on.
clock_run (Optional)
For every controller, or concurrent controller group, you can write a clock_run procedure, if needed. The
clock_run procedure has both an internal mode as well as an external mode.
You can specify only one clock_run procedure per controller or concurrent group; however, you do not
need to specify a separate procedure for each controller instance. The same procedure can be used for
multiple controllers. You need to specify a separate procedure for a controller instance only if it maps to a
different set of internal clocks.
In case of controllers running concurrently, and some of these controllers clocks are driven by PLL
internal clocks, the clock_run procedure is required per concurrent group. It is not required for every BIST
controller participating in the group to have its clock driven by a PLL internal clock. For some controllers,
their clocks can be driven by a PLL reference clock or even by a system clock.
The tool relies on you to control the PLL control signal. This can be achieved by forcing the PLL control
signal to a proper value in a test_setup procedure and in external mode of clock_run procedure as well (it
depends on the PLL model behavior).
A clock_run procedure has to have a N-to-1 or 1-to-N ratio between internal and external cycles; that is,
either the internal mode has to have only one cycle, or the external mode has to have only one cycle. You
cannot have, for example, two external cycles and three internal cycles.
• The default capture procedure is an optional capture procedure, without a name, that provides
information on how the series of capture events are broken into cycles and which timeplates
these cycles use. The default capture procedure is defined in the procfile as part of the scan
group definition or internally derived by the tool when you do not define one.
• The named capture procedure is an optional capture procedure with a name that defines explicit
clock cycles. You can create multiple named capture procedures, each with a unique name, using
the create_capture_procedures command. If you need to manually create or edit named capture
procedures, refer to "Rules for Creating and Editing Named Capture Procedures" in this chapter.
For information on using named capture procedures to create at-speed test patterns, refer to "At-
Speed Test With Named Capture Procedures" in the Tessent Scan and ATPG User’s Manual.
• The external_capture procedure is an optional capture procedure used for all capture cycles
between each scan load, even when the pattern is a multiple load pattern. External_capture
procedures are used by the "set_external_capture_options ‑capture_procedure" command.
External_capture procedures that are used with this command have several restrictions:
◦ The procedure can only have one force_pi statement and no measure_po statements. This is
because to use the "set_external_capture_options ‑capture_procedure" switch, the patterns
to be saved must be hold_pi and mask_po patterns. The statements in the capture procedure
must match up to this.
• The default procedure may only contain force_pi, measure_po, pulse_capture_clock, bidir_force,
bidi_force_pi, bidi_force_off, and bidi_measure_po event statements that represent the non-scan
activity for a normal pattern. There is no overlap between the capture procedure and the existing
clock procedure.
• Use the pulse_capture_clock statement in the default capture procedure to indicate in which cycle
one or more capture clocks should be pulsed.
• Do not specify any complex clocking that needs to be described for capture clocks or other clocks
in the default capture procedure; specify it in the clock procedure or by using a named capture
procedure.
• Do not specify any type of pin or ATPG constraint in the default capture procedure. For example,
specifying that a certain pin is to be held at a certain state in the default capture procedure does
not restrict the ATPG engine from applying different values to that pin. However, you can use the
bidi_force and bidi_force_pi statements in the default capture procedure to force all bidirectional
pins off in one cycle and force the ATPG values on the bidirectional pins in the next cycle.
• A named capture procedure may only contain force_pi, measure_po, observe_method, pulse
(named clock), and condition statements.
• If you use mode definitions, all cycles in a procedure must be defined within mode definitions.
Use the keyword "mode" with two mode blocks: "internal" and "external". Use the mode_internal
definition to describe what happens on the internal side of the on-chip PLL. Use the
mode_external definition to describe what happens on the external side of the on-chip PLL.
• All events in a named capture procedure that use modes must be duplicated in both modes. The
only difference is that the internal mode uses only internal clocks and the external mode uses
only external clocks. The number of cycles and timeplates used can be different as long as the
total period of both modes is the same.
• Signal events used in both internal and external modes must happen at the same time. Examples
of these events are force_pi, measure_po, and other signal forces, but also include clocks that
can be used in both modes.
◦ If a measure_po statement is used, it can only appear in the last cycle of the internal mode
and must occur before the last clock pulse. If no measure_po statement is used, the tool
issues a warning that the primary outputs cannot be observed.
◦ The cumulative time from the start of the first cycle to the measure_po must be the same in
both modes.
◦ The external mode cannot pulse any internal clocks or force any internal control signals.
◦ A force_pi statement needs to appear in the first cycle of both modes and occur before the
first pulse of a clock.
◦ If an external clock goes to the PLL and to other internal circuitry, a C2 DRC violation is
issued.
◦ At-speed cycles need to be continuous; that is, a named capture procedure cannot have
more than one at-speed clocking subsequence.
◦ All defined real clocks (excluding internal clocks) must be forced to off state first in the
mode_internal definition.
For more information, refer to "Internal and External Modes Definition" in the Tessent Scan and
ATPG User’s Manual.
• Do not use the pulse_capture_clock statement in a named capture procedure. The clocks used
are explicitly pulsed.
• If you want to specify the internal conditions that need to be met at certain scan cells in order to
enable a clock sequence, use the condition statement before the cycle statement in the named
capture procedure, as shown in the following example:
• If you want to define a specific observe method for each named capture procedure, use the
observe_method statement in the named capture procedure; otherwise, the ATPG engine
automatically selects master, slave, or shadow observation.
Note:
The write_patterns command enables you to save internal or external clock patterns.
Internal clock patterns can be used to simulate the DUT without having the PLL modeled,
while the external patterns only exercise the PLL external clocks and control signals.
Internal patterns are the default for ASCII and binary formats, and external patterns are the
default for tester formats.
• If you generate patterns using a named capture procedure that has both internal and external
modes and you save them in STIL or WGL format, you must use the write_patterns command’s
internal option to read them back into the tool (for example, to use in diagnosis). For more
information and for information about special considerations that apply to LBIST mode in
the TK/LBIST Hybrid flow, refer to the ‑Mode_internal and ‑Mode_external switches for the
write_patterns command in the Tessent Shell Reference Manual.
DRC rules W20 through W36 check named capture procedures. If a DRC error prevents use of a capture
procedure, the run halts.
cycle slow =
...
end;
• The slow cycle indicates that at-speed faults cannot be launched or captured. The tool must know
which at-speed cycles are slow to get accurate at-speed fault coverage simulation numbers;
therefore, be sure to include "slow" when defining cycles that are not at-speed cycles in an at-
speed capture procedure.
Note:
At-speed cycles need to be continuous; that is, a named capture procedure cannot have
more than one at-speed clocking subsequence.
• The load cycle indicates that the cycle is always preceded by an extra scan load. The first cycle
in a named capture procedure is always a load (with or without the load type designation), so
you typically apply "load" to subsequent cycles. An at-speed launch cycle can be a load cycle;
Note:
To get extra loads, you must enable the tool’s multiple load and clock sequential
capabilities by using the set_pattern_type command with "‑multiple load on" and
"‑sequential <2 or greater>". For more information, refer to "Multiple Load Patterns" in the
Tessent Scan and ATPG User’s Manual.
launch_capture_pair Statement
Optionally, you can add one or more "launch_capture_pair" statements to the beginning of a named
capture procedure. This statement defines legal at-speed launch and capture points in non-adjacent
cycles. If you do not use the launch_capture_pair statement, the tool launches and captures only in
adjacent cycles. If at least one launch and capture clock pair is defined, the launch and capture points are
derived from the defined launch and capture clock pairs.
Note:
This statement is only supported when using a named capture procedure to perform test
generation.
Where:
cycle = // cycle 1
force_pi;
force c1 0;
force c2 0;
force c3 0;
pulse c1;
end;
cycle = // cycle 2
pulse c2;
end;
cycle = // cycle 3
pulse c1;
pulse c3;
end;
end; // end of capture procedure
In this example, a valid launch can happen in cycle 1. A valid capture can happen in cycle 3 only with
c1 as the capture clock. A launch in cycle 1 and a capture in cycle 2 is not used for fault detection. The
faults to be tested by this named capture procedure are the faults that can be launched and captured by
clock c1.
Clock_sequential (Optional)
The clock_sequential procedure (optional for "patterns -scan" context), which may only contain force_pi,
pulse_write_clock, pulse_read_clock, pulse_capture_clock, bidi_force_pi, and bidi_force_off event
statements, represents the clock sequential events in a clock sequential pattern. Use this procedure with
the capture procedure.
The following shows the clock_sequential procedure pattern.
Figure 197 shows an entire clock sequential pattern, which illustrates where the clock_sequential and
capture procedures are used.
Init_force (Optional)
The init_force procedure (optional for "patterns -scan" context), which may only contain force_pi event
statements, represents the force cycle that is used in an ATPG pattern that targets a transition fault. The
transition must be launched off of the last scan chain shift. This procedure is used when the fault type is
set to transition fault and either the depth is set to 2 or less or the ATPG engine fails to find a sequential
pattern that can cover this transition fault. Use this procedure with the capture procedure.
The following illustrates the format of the init_force procedure pattern.
Figure 198 shows the pattern that uses the init_force procedure.
timeplate tp1 =
force_pi 0;
measure_po 10;
pulse ref_clk 50 50;
period 100;
end;
procedure test_end =
timeplate tp1;
cycle =
timeplate tp4 =
force_pi 0;
pulse TCK 10 10;
measure_po 30;
period 40;
end;
procedure test_end =
timeplate tp4;
cycle =
// TMS = 1, change to select-DR state
force TDI 1;
force TMS 1;
pulse TCK;
end;
cycle =
// TMS = 0, change to capture-DR state
…
cycle =
// Scan out signature (MISR has length of 4)
force TDI 1;
force TMS 0;
pulse TCK;
end;
cycle =
force TDI 1;
force TMS 0;
pulse TCK ;
end;
…
end;
Sub_procedure
The sub_procedure procedure eliminates the need to insert duplicate actions within a procedure. Once
you have defined a sub_procedure, you can specify this procedure within other procedures using the
apply statement.
You can also set the tool to reissue the sub_procedure as many times as needed by specifying the
repeat_count. Because the repeat_count is required when using apply sub_procedure, you must enter a
minimum of 1 for this parameter.
Sub_procedure Looping
Sub_procedure looping is used to reduce the size of pattern files. The default behavior of the
sub_procedure is to use "loops" or "repeats" in all applicable pattern formats to repeat the contents of the
sub_procedure N times, where N is greater than 1.
The vector data for the sub_procedure "pulse_bclock" would be expanded to be 1000 vectors. The default
for the ALL_NO_LOOP keyword is off (0).
procedure shift =
scan_group grp1;
timeplate tp1;
apply my_subprocedure 4;
cycle =
force_sci;
measure_sco;
pulse T;
end;
end;
Note:
You must first define a sub_procedure before using it in a procedure. Next, you can apply a
sub_procedure within any procedure type. Also, you cannot use a sub_procedure within the
"cycle =" and "end;" statements.
set ::add_clocks_timing 1
set ::edges "25 75"
set ::port_list [get_name_list [get_clocks -type sync_source]]
set ::all_clocks [string cat {"} [join $port_list {", "}] {"}]
set_procfile_name ./myproc
• All scan procedures that you use must be specified in the procedure file that you load with the
add_scan_groups command.
• If you load a procedure that contains nothing but the procedure name, a timeplate name, and an
optional scan group, it is a template procedure. If a procedure already exists by that name for that
scan group (if it is a group-specific procedure), then the timeplate is mapped onto the existing
procedure. If no procedure already exists with that name, the tool stores the template procedure
for future use.
• If you load a new complete procedure (not a template) and a procedure already exists by that
name for the specified scan group (if applicable), the new procedure overwrites the existing one.
• In both cases, when a procedure overwrites an existing one, or if a new timeplate is mapped to
an old procedure, the tool checks the procedures to make sure that the sequence of events in the
new procedure does not differ from the old procedure.
Prerequisites
• A test procedure file.
Procedure
1. Create a new timeplate that measures the outputs after the clock pulse.
2. Change the timeplate for the shift and load_unload to point to the new timeplate.
4. Make sure all shift procedures have the measure_sco statement after the shift clock. When end
measure mode is enabled, the measure_sco statement measures the next value from the output of
the scan chain. The very first value for the output of the scan chain is measured by a measure_sco
statement in the load_unload procedure.
5. Change the timeplate for the capture cycle by breaking it into two cycles. Move the capture clock to
the second cycle of the capture procedure to enable the measure at the end. In the first cycle, the
force_pi and measure_po are performed. In the second cycle, the capture clock is pulsed. When
using end measure mode, a measure cannot be performed after the capture clock.
Examples
set time scale 1.000000 ns ;
set strobe_window time 10 ;
timeplate gen_tp1 =
force_pi 0 ;
measure_po 10 ;
pulse clk 20 10;
pulse edt_clock 20 10;
pulse ramclk 20 10;
period 40 ;
end;
// CREATE A NEW TIMEPLATE THAT MEASURES AFTER THE CLOCK PULSE
timeplate gen_tp2 =
force_pi 0 ;
// measure_po 10 ;
pulse clk 20 10;
pulse edt_clock 20 10;
pulse ramclk 20 10;
measure_po 35 ; // <<== NEW MEASURE STATEMENT
period 40 ;
end;
// FOR CAPTURE SPLIT INTO TWO CYCLES
procedure capture =
timeplate gen_tp1 ;
cycle =
force_pi ;
measure_po ;
end ;
cycle =
pulse_capture_clock ;
end;
end;
// FOR THE SHIFT AND LOAD_UNLOAD, USE THE NEW TIMEPLATE
procedure shift =
scan_group grp1 ;
• LogicBIST — Used to serially unload certain registers (for example, MISRs) using the test_end
procedure.
• Low pin count test — Used to serially load registers with test information through a serial access
port such as a JTAG TAP controller.
• Static variable — A specific register value variable string you specify during setup mode. Once
set, you cannot change this variable.
• Dynamic variable — A register value variable string that you can define or change later, and can
use tool-specific switches.
Static Variable
A static register variable is one where the value is assigned to the variable when the variable is defined
(using the add_register_value), and this value then cannot be changed. This static value is used by DRC
when simulating the procedures. A static variable cannot be set using tool specific switches to link the
variable to tool computed values, as these may change.
Dynamic Variable
A dynamic register value variable uses tool-specific switches and arguments to link the variable to a value
computed by the tool. If you use a dynamic variable, then you must specify the register’s width unless the
tool knows this value at the time DRC is run (for example, PRPG size).
This method is used for defining a variable that has a tool-specific computed value that is available
when patterns are saved and needs to be loaded or unloaded by the test_setup or test_end procedures.
The value defaults to all X bits, and you can specify the actual value in non-Setup mode by using the
set_register_value command.
If no value is specified the first time DRC is invoked after adding a register value variable, the tool
considers the variable to be dynamic for DRC and any future invocation of DRC.
load_unload_registers Procedure
The load_unload_registers procedure is a named procedure; consequently, you can have multiple
occurrences of this procedure, corresponding to multiple groups of registers that need to be loaded or
unloaded. The load_unload_registers procedure can only be called from the following procedures:
• test_setup
• test_end
The load_unload_registers procedure can load, unload, or both. Additionally, one application of the
procedure can load/unload multiple cascaded registers.
When the test procedure file explicitly calls the load_unload_registers procedure from either the
test_setup or test_end procedures, the values to load or unload are passed to the procedure using the
string of binary values (value hard-coded in the procedure application) or the register value variables.
shift Keyword
The load_unload_registers procedure uses the shift keyword to define a block of events that result in
one shift operation. This is similar to the IEEE STIL syntax where the shift keyword defines a shift block
within a load or unload procedure. It is analogous to embedding the shift procedure into the load_unload
procedure.
The load_unload_registers procedure must have a shift block defined, which has one or more cycles
used to shift the data into the data input pin or the data out of the data output pin. The procedure can also
optionally have cycles that precede or follow the shift block, to be used to put the circuit into shift mode or
finish the shift mode when done, similar to how a load_unload procedure is used.
Event Statements
Within a shift block, at least one event statements in a load_unload_registers procedure must use the "#"
character to denote where the shift data passed into the procedure is used.
The event statement must be either a "force" event or an "expect" event. An event statement with the "#"
character can also occur in the cycles preceding or following the "shift" block to express pre-shifts and
post-shifts for loading the register. This event statement has the following syntax:
The apply statement used to call the sub_procedure also calls the shift and load_unload_registers
procedures. However, when calling the shift and load_unload_registers procedures, the #times argument
in the apply statement is replaced with one or more value assignments for the data in or data out pins.
• A binary string of 0s, 1s, or Xs, where the length of the string determines the number of shifts to
load the register.
• A string of a different radix as long as the Verilog syntax of identifying the radix and width are
used, such as "32'h" for a 32 bit hexadecimal value.
If a register_value_variable is used in the shift_data_assignment, then a value computed by the tool for
that register_value_variable (as bound within the dofile) or hard-coded in the dofile is loaded or unloaded
at that time and the shift length is also provided by the tool. It is possible for more than one value_string
or register_value_variable to be assigned to an identifier in one shift_data_assignment. In this case, the
extra values are separated by spaces. This enables multiple shorter values to be shifted into one register
group.
The typical usage is that each register_value_variable corresponds to one register being loaded, and the
specification of multiple variables is used when those registers are cascaded and loaded/unload on after
another.
Alias Names
It is possible to use an alias name in the procedure type for loading shift data, even if that alias name
refers to multiple pins. If this is the case, the number of bits assigned by the "#" character for each shift is
equal to the width of the alias being used. The length of the value string being passed to the procedure
must be a multiple of the width of the alias.
Loading or unloading an alias can be used if performing parallel load/unload and no shift is required. For
example, if each MISR bit is connected to a separate primary output. Even if no shifting is required, the
functionality is still useful to bind the expected values to the signature computed by the tool.
If multiple shift_data_assignments are passed to a procedure, then all of them must have the same shift
length such that each pin being loaded/unloaded requires the same number of cycles to load/unload all
the data. No padding is performed by the tool.
If a "measure" event is used in one of these procedures to unload a register, then these measure values
can be compared in the final patterns and the Verilog testbench.
Dofile Modifications
You define register value variables using commands you issue to the tool interactively or in a dofile. The
value variables are subsequently referenced in the procedure file.
You use the following commands to perform these operations:
• add_register_value
• set_register_value
• delete_register_value
• report_register_value
You can only use this command in setup mode. You must define the register value variables in the dofile
before the variables are used in a test procedure file to load values into the registers.
The value_name is a user-specified identifier, and the value_string is a state string in a particular radix.
The default is binary radix.
If you use the –Radix switch to change this to a different radix, you must also specify a register width
using the –Width switch.
The name of the register value and its width are known prior to parsing the procedure file and running
DRCs. The value is all X bits for DRC.
This command can only be used for a register value that was defined without a value string, and the width
of the value string must match the width specified in the add_register_value command.
If the value overflows the width specified, an error is issued.
By default, the bits extracted by force/measure "#" in the procedure are from MSB to LSB. If the value
specified should be shifted in/out in the opposite order, with the LSB bits applied/measured first, use the "-
LSB_shifted_first" optional switch.
If the shift assignment references an undefined register value variable name, a P54 is issued.
P66
The P66 rule is used to check for missing statements within a load_unload_registers procedure.
For example, if no Shift block is specified, or if an event statement using the "#’ character is not present
for each shift_assignment passed to the load_unload_registers procedure, the tool reports a P66
violation.
The following examples show the types of P66 DRC errors you could encounter.
Example 1
In the following example, the tool reports this error if there is no shift block within the load_unload
registers procedure:
Example 2
In the following example, the tool reports this error if there are no events in the load_unload_register
procedure that use the "#’ substitute character.
Example 3
In the following example, the tool reports this error if an apply statement that uses a
load_unload_registers procedure has a shift data assignment that uses a signal that does not appear in
the load_unload_registers procedure with the substitute character "#’.
W5
The W5 DRC error is used to flag any extra events or statements in a load_unload_registers procedure,
or any events that are not legal.
For example, if an event type other than Force or Expect is used with the "#" substitute character, the tool
reports a W05 rule violation. If the load_unload_registers procedure contains more than one shift block,
this rule is reported. If there is a Force or Expect statement using a "#" character for a signal name that is
not being passed to the procedure as a shift assignment, this rule is reported. The W05 rule is used when
shift assignments for a particular Apply statement do not all have the same length.
Example 1
The following error is reported if an event in the load_unload_register procedure uses the "#" substitute
character, but no shift data for this signal is passed into the procedure when it is called "extra shift block":
Example 2
The following error is reported if there is more than one shift block in the load_unload_registers
procedure.
Example 3
The following error is reported if more than one event of the same type in the shift block uses the same
signal name with the "#’ substitute character.
Example 4
The following error is reported for an apply statement that uses a load_unload_registers procedure but
has no shift data assignments in the apply statement.
Example 5
The following error is reported for an apply statement that uses a load_unload_registers procedure and
has more than one shift assignment, however, the target of the shift assignments are aliases and they are
not the same width.
Example 6
This is reported for an apply statement that uses a load_unload_registers procedure and has more than
one shift assignment and the assignments have different shift lengths.
Procedure Examples
This topic provides examples of the definition of the load_unload_registers procedure. Notice how this
procedure uses the "shift =" statement and the "force tdi #" statement to denote the shifting and where the
string of data is applied, one bit at a time.
This procedure could then be applied in the test_setup procedure to initialize the PRPG, specifying the
string of bits to apply to "tdi" during shifting.
procedure test_setup =
timeplate tp1 ;
cycle =
force clk1 0 ;
force clk2 1 ;
force tck 0 ;
…
end;
apply load_prpg1 tdi = 000000000000000000000001 ;
cycle =
…
end;
end;
For loading a register with the shift length during test_setup, using the values computed by the tool, the
procedure definition looks the same, but how the procedure is called is slightly different. The following
example both loads and unloads the register, as this same procedure could be used in a test_end
procedure to unload the shift length value. The dofile for this example contains the following command:
add_register_value length_val -shift_length -width 16
This next example uses an alias to group three tdi signals into one alias, and also adds a post-shift cycle
to the load_unload_registers procedure. When this procedure is called, the data passed to it is consumed
three bits at a time, with each bit being shifted into tdi1, tdi2, and tdi3 in order. The total number of shifts
applied in the "shift" block is the total length of the value string divided by three, and then minus one for
This final example shows how to use a dofile commands to set up a user-defined register value that is
used to store total number of scan patterns when the final patterns are saved.
Command Description
add_scan_groups Adds a scan group using the scan procedures in the named procedure
file.
write_procfile Writes out existing procedure and timing data as the named procedure
file.
write_patterns Loads a cycle before saving patterns and merges the new data with
the existing data.
report_procedures Reports (displays) a named procedure to the screen. The -All switch
displays all procedures to the screen.
report_timeplates Reports (displays) a named timeplate to the screen. The -All switch
displays all timeplates to the screen.
• Fujitsu TDL
• Mitsubishi TDL
• STIL
• TI TDL
• WGL
• TSTL2
• VERILOG
The -PROcfile switch causes the write_patterns command to get its timing information from the procedure
file. For more information, refer to the write_patterns command in the Tessent Shell Reference Manual.
Prerequisites
• Comply with the hardware and operating systems requirements listed under "Supported
Hardware and Operating Systems" in the Managing Tessent Software manual.
The requirements also apply to the machine providing the X Window desktop (as defined by the
DISPLAY environment variable).
Procedure
1. Set a context using the set_context command.
2. Load a design and library using the read_verilog and read_cell_library commands. You can also
load an ICL model using the read_icl command.
Note:
You can invoke Tessent Visualizer without running these commands, but most of the user
interface is disabled until you load a design and library, or an ICL model, of your design. To
debug certain IJTAG issues, loading and extracting an ICL file is sufficient to enable you to
examine the ICL network.
You can also use these commands in the Transcript tab after you invoke Tessent
Visualizer.
4. Invoke Tessent Visualizer explicitly on the same machine Tessent Shell is running:
ANALYSIS> open_visualizer
// Note: Tessent Visualizer client successfully started and connected
to the server.
Prerequisites
• Comply with the hardware and operating systems requirements listed under "Supported
Hardware and Operating Systems" in the Managing Tessent Software manual.
The requirements also apply to the machine providing the X Window desktop (as defined by the
DISPLAY environment variable).
Procedure
1. Set a context using the set_context command.
2. Load a design and library using the read_verilog and read_cell_library commands. You can also
load an ICL model using the read_icl command.
Note:
You can invoke Tessent Visualizer without running these commands, but most of the user
interface is disabled until you load a design and library, or an ICL model, of your design. To
debug certain IJTAG issues, loading and extracting an ICL file is sufficient to enable you to
examine the ICL network.
You can also use these commands in the Transcript tab after you invoke Tessent
Visualizer.
To start the server in Tessent Shell, invoke the open_visualizer command with the ‑server_only
switch. Optionally, specify the TCP/IP port using the ‑tcp_port switch. Valid port numbers are from
1024 to 65535.
Note:
The IP address and port of the server must be accessible from the client machine.
Invoke Implicitly
Some Tessent Shell commands run Tessent Visualizer to perform their functions. Therefore, when you
run any of these commands they launch the Tessent Visualizer GUI.
Prerequisites
• Comply with the hardware and operating systems requirements listed under "Supported
Hardware and Operating Systems" in the Managing Tessent Software manual.
The requirements also apply to the machine providing the X Window desktop (as defined by the
DISPLAY environment variable).
Procedure
1. Set a context using the set_context command.
2. Load a design and library using the read_verilog and read_cell_library commands. You can also
load an ICL model using the read_icl command.
Note:
You can invoke Tessent Visualizer without running these commands, but most of the user
interface is disabled until you load a design and library, or an ICL model, of your design. To
debug certain IJTAG issues, loading and extracting an ICL file is sufficient to enable you to
examine the ICL network.
You can also use these commands in the Transcript tab after you invoke Tessent
Visualizer.
4. Invoke Tessent Visualizer implicitly using one of the commands listed in open_visualizer in the
Tessent Shell Reference Manual.
The following example opens the Flat Schematic tab in a Tessent Visualizer window with the
location of the instance with the C7-6 DRC violation highlighted:
ANALYSIS> analyze_drc_violation C7-6
License-Protected Tabs
Some tabs in the Tessent Visualizer window require a Tessent IJTAG (mtijtagf) license. These tabs are
referred to as license-protected tabs.
The following tabs are license-protected:
• ICL Schematic
• iProc Viewer
• Search - iProcs
Note:
When starting the Tessent Visualizer GUI, the tool tries to check out a Tessent IJTAG license
to restore any licensed tabs from the previous session. If the tool displays a message stating
that a required Tessent IJTAG license is unavailable, it could mean that you selected Do not
show this dialog again and clicked OK in a previous session when a dialog box informed you that
some tabs to be restored require a Tessent IJTAG license. Use the "open_visualizer -display"
command and specify any non-license protected tab to start the GUI. For example, you can use
the "open_visualizer ‑display instance_browser" command to start the GUI.
Framework Overview
Tessent Visualizer provides multiple tabs for viewing and debugging design and simulation data in
specialized windows.
The Tessent Visualizer framework consists of the following:
• Windows — Windows contain one or two panes, a global top menu, and a status bar. The menu
and status bar are identical across multiple windows; only the pane content differs.
• Panes — There are at most two panes per window. By default, one pane provides multiple tabs.
Windows can be split into two panes by dragging and dropping. Each pane has its own tabs.
• Tabs — You can view and select multiple tabs within a pane. Each functional task in Tessent
Visualizer is placed as a tab. You can reorder the tabs by dragging and dropping, or cycle through
them with Ctrl+Tab (next tab) or Ctrl+Shift+Tab (previous tab). Refer to “Keyboard Shortcuts”
on page 931 for more ways to interact with Tessent Visualizer using the keyboard. Refer to
“License-Protected Tabs” on page 835 for information about how the tool handles license-
protected tabs.
Each window can contain two panes (separate sets of tabs). This is called a split view. To create a split,
drag one of the tabs to either side. Drag and drop a tab to move it from one side of the split to the other.
There are at most two work areas (panes) per window, as shown in Figure 199.
You can undock any tab from a window as a separate (floating) window and redock as necessary.
Floating windows have the original window’s full functionality, with access to features such as global
settings and the capability to offer a split view. To undock a tab, double-click the tab or drag and drop
it away from the parent window. To redock, drag it back to the parent window (or any other Tessent
Visualizer window) and drop it as a tab in that window.
You can move a tab to the left or right pane with the context menu, as shown in the following figure.
Tables
Schematics
Tessent Visualizer Preferences
Macros
Tooltips
Saving and Restoring the Session State
Window Title Prefixes
Tables
Tessent Visualizer presents data such as tracing results, pin listings, instances, and nets in tabular form in
all windows, including schematics. These tables are configurable, and you can filter data dynamically.
• Customize the data types included in the table with the Columns and Filters Editor.
• Select one or more table rows in the Tessent Visualizer window and perform various compatible
actions using the popup menus available by right-clicking.
• Export table data in CSV format with the Export Table icon.
Button/Field Description
Columns and Filters Editor. Controls the form and content of the table.
Resize columns to contents. This fits the column widths to accommodate the
size of the displayed data.
Loaded row counter. By default, the table loads up to 250 items. Change the
default threshold from the Preferences dialog box.
The "+" next to this number indicates that all data for the table has been loaded if
it is displayed in a gray circle. A green circle indicates that additional data exists in
Tessent Shell. Load this additional data by scrolling down or clicking the circle.
Related Topics
Columns and Filters Editor
Description
Figure 202 shows features of the Columns and Filters Editor:
Objects
Object Description
Apply filters by typing terms in the filter fields, shown in Figure 202. When a
column is being filtered, the funnel icon is displayed next to the column name in
both the Column and Filters Editor and the tabbed window being modified by the
Column and Filters Editor.
Object Description
Reorder columns by dragging and dropping the header items at the top of the
Columns and Filters Editor.
Search for specific data types by using string matching in the column for the
property name. Search results are displayed as you type.
Usage Notes
The report table content is automatically refreshed when you accept the modifications by clicking OK.
Table Filters
Filters limit the data visible in tables using the search criteria you specify.
The filter field is located directly beneath the column headers, as shown in Figure 201. Tessent Visualizer
uses the following filters:
• Wildcard match — The default filtering mechanism used in table filters is wildcard matching. If
you do not provide any other keywords or comparators, the tool uses wildcard matching for the
table filters.
Wildcard matching uses the following special characters:
• Exact match — A string literal or value, prepended with an equal sign (=). This restricts the
display of data to those objects matching the string or value.
• Exact inverse match — A string literal or value prepended with the not equals sign (!=). This
restricts the display of data to those objects not matching the string or value.
• Numerical comparison operators — Data columns that include numerical information can be
filtered using standard numerical comparison operators (<, <=, >, >=).
◦ != abc AND != xyz restricts the display of data to all objects that are not named abc and are
not named xyz.
◦ abc OR xyz restricts the display of data to those objects named abc or xyz.
◦ abc* OR x?z restricts the display of data to objects matching both wildcard expressions.
◦ NOT operator can be used to negate the GLOB, LIKE, or REGEXP expression.
• GLOB and LIKE — Table filters support SQL’s GLOB and LIKE operators.
GLOB syntax:
◦ The set operator ([]) matches one character from the list of characters enclosed within square
brackets.To match wildcard characters or an opening square bracket ([), specify them with an
extra set operator. For example, GLOB ‘pinname[[]*]’ matches "pinname[1]", "pinname[10]",
and "pinname[]".
LIKE syntax:
◦ To escape special characters, use the backslash (\). For example, LIKE ‘core\_i’ matches
"core_i", "CORE_i", or any other case-insensitive combination.
• Standard regular expressions — Data can be filtered using regular expressions by using the
construct REGEXP 'RE'. For example, REGEXP 'clk_\d+$' restricts the display of data to all
objects with names ending in the string clk_ followed by one or more decimal digits.
Note:
In this filter, the keyword "REGEXP" and the single quotation marks are required.
You can make a filter for a hierarchical data structure sticky with the Columns and Filters Editor as shown
in Figure 203. Select the checkbox to enable the sticky behavior for the corresponding column. If the
sticky behavior is enabled, a filter defined for one hierarchy level is applied for all other hierarchy levels. If
it is not enabled, the filter applies only to the hierarchical level where it is defined.
The sticky filter is also marked in the Hierarchical and ICL Instance Browser with a plus sign (+) on the
standard filter icon ( ) as shown in Figure 204.
This feature is only available for the Instance Browser and ICL Instance Browser’s child instance tables
displaying hierarchical data.
Note:
Filters applied to table columns are processed in Tessent Shell on the complete data model, no
matter how many resulting rows appear in the Tessent Visualizer tabular reports.
Data Sorting
The default order of rows in tabular data is determined by the underlying data structure in Tessent Shell.
In some tables, you can sort this data by clicking the column title cell. The ordering cycles through each of
ascending, descending, and the default ordering.
Data sorting is enabled when the number of rows loaded is less than the limit (250 by default, but
configurable in the Preferences menu). Exceptions: sorting is always enabled in the following cases:
Tip
For better performance, limit the set of visible data with filtering before using the sorting function.
Related Topics
Tessent Visualizer Preferences
Row Highlighting
Tables in Tessent Visualizer use color coding to indicate the status of rows.
Schematics
Tessent Visualizer schematics contain features that enable you to inspect and navigate your design.
The figure in the "Toolbar" section shows a Flat Schematic with the following GUI objects highlighted:
• Toolbar — Controls how objects are displayed in the schematic and export schematic objects
for use by other tools. You can configure the shortcuts for some actions using Preferences >
Shortcuts.
• Address Bar — Displays the name of the currently selected object. You can also use the
address bar to add new objects to the schematic by name.
• Selection Buttons for Context Table — Select which context table you want to display.
Figure 206 illustrates some additional schematic common features:
• Attributes Table for the Selected Object — Displays information about the currently selected
object. The specific types of data in this table depend on the object selected.
• Overview Pane — Shows all objects that have been added to the schematic. The currently
zoomed view is highlighted. Drag and drop the highlight rectangle to pan the view.
• Pin Table — Displays information about the pins on the currently selected object.
Many objects can be transferred from one schematic to another by dragging and dropping or by right-
clicking and using the context menu. Any highlighting on these objects is preserved when they appear in
the target schematic. If you transfer an object into a schematic where it already exists, any highlighting
on the new copy of the object overrides any highlighting on the previous one. If you transfer multiple
objects that include connectivity obtained with signal tracing from the Flat Schematic to the Hierarchical
Schematic, or from the IJTAG Network viewer to the ICL Schematic, that connectivity is preserved if you
choose "Transfer connectivity" from the schematic settings menu. This option is enabled by default.
Toolbar
Schematic Symbols
Context Tables
Signal Net Tracing Strategies
Context Menu Tracing
Displayed Property
Tessent Shell Attributes
Mouse Gestures
Toolbar
There is a toolbar at the top of each Tessent Visualizer schematic tab.
Figure 207 shows the location of the schematic toolbar.
The following tables summarize the schematic elements. You can also configure the shortcuts for some
actions using Settings > Preferences > Shortcuts.
Button Description
Zoom all: fit the current view of the schematic in the window.
Zoom in.
Zoom out.
Button Description
Delete selected: delete all selected objects from the schematic view.
Delete unselected: delete all objects from the schematic view except those
currently selected. There are two exceptions:
• If a selected object is an instance that has some pins displayed, those pins are
not deleted.
• If the selected objects are pins that are connected with a net, that net
connection is not deleted.
Mark/Unmark: highlight the currently selected object or objects with the selected
color, or clear the highlighting on the selected object. If the color you want to use is
already active, you can use Ctrl+M to highlight the selected object(s).
Export schematic: save the current view of the schematic in PDF or PostScript
format, or as a schematic dofile. You can run the generated schematic dofile (or
any other dofile) using the Execute dofile option under the Open menu, or by
using the dofile command in the Transcript or shell window.
Note:
When a dofile generated with the Export schematic action is run in a future session, the
displayed schematic is re-created as closely as possible. In rare circumstances, some objects
may not be displayed or connected exactly as in the original schematic.
Field Description
Object name Displays the names of the objects you select in the schematic.
You can also use it to specify the names of the objects you want
displayed.
Schematic Symbols
Tessent Visualizer schematics use a variety of conventional symbols to present the structure, objects, and
connections of a design.
Figure 208. Hierarchical Schematic Showing Pins, Ports, Instances, and Nets
Instances
Instances are individual copies of library cells, primitives, or groups of cells connected by nets to form
hierarchical instances. Both the Hierarchical Schematic and Flat Schematic tabs can contain instances
of library cells and primitives, which display as conventional logic symbols (such as NAND, NOR, and
MUX). Library cells display as rectangles in the Hierarchical Schematic tab, but you can observe their
functional representation in the Flat Schematic tab.
Note:
The ordering of pins on displayed instances matches the ordering as provided by report_gates.
Hierarchical Instances
Tessent Visualizer schematics show groupings of symbols by enclosing them in rectangles, objects called
hierarchical instances. Hierarchical instances include their pins. All instances except those at the leaf
level display with both the internal and external connections to those pins.
Figure 209 depicts an instance in the Hierarchical Schematic tab. Callouts convey information about
specific design objects in certain contexts, and the schematic shows simulation data on design pins
where appropriate.
Use the right mouse button as shown in Figure 210 to show the internal connectivity of a selected
hierarchical instance. If the number of objects at the selected instance’s interface is large, this option
is not available. In this case, use context tables to selectively add instances and connections to the
schematic.
Figure 211 shows an instance in the Hierarchical Schematic tab with a red callout symbol. Hover the
mouse pointer over the symbol to show the number of DRC violations, and click the symbol to display a
table of those violations.
Hierarchical cells (HCells, defined by `celldefine and `endcelldefine in Verilog) are depicted with a slightly
lighter gray color than the library cells. You can view objects inside hierarchical cells, but these are not
annotated with gate data from the flat model.
assign y = a;
endmodule
Black-Boxed Instances
A black-boxed instance is an instance with no defined model that you create with the add_black_boxes
command.
Figure 214 shows how the add_black_boxes command creates a blackbox from the dr_mux_i instance
within the tap_i module. The instance then becomes a BB type and displays as a solid gray rectangle in
the Hierarchical Schematic tab.
The flattening process creates primary inputs and outputs, as well as bus instances necessary for
modeling bidirectional pins and ports. In addition, there are instances that tie a net to a fixed value.
User-defined cells display as rectangles with a solid fill. User-added ports display with an orange fill.
Figure 216 shows a persistent buffer symbol in the Flat Schematic tab. The same buffer would look like
Figure 213 in the Hierarchical Schematic tab because you typically implement persistent buffers using
an assign statement.
Callout Messages
Callouts are informational messages that display on a schematic, as shown in Figure 209 on page 852.
You can add callouts to any instance, pin, or net object that exists on the schematic using the
add_schematic_callout command.
Callouts can also display in a collapsed format, as shown as collapsed callouts in Table 40 on
page 857. The table describes how to view the text of a collapsed callout. Use the Collapse callouts
button as described in Table 38 on page 849 to collapse all callouts. Use Ctrl+left mouse button to drag
and drop individual callouts.
Nets
Single nets display as thin green lines, and bus nets display as thicker green lines. When a bus net
branches to a single net, the bus index displays when the mouse pointer is hovered over the triangular rip
point symbol, as shown in Figure 217.
Markers
Tessent Visualizer uses marker symbols in schematics to indicate additional properties of the elements
shown. Some, such as trace markers and buffer-collapsing markers, have actions associated with them.
Table 40 lists a summary of marker symbols used in Tessent Visualizer schematics, and whether they are
displayed in flat schematics (F), hierarchical schematics (H), ICL schematics (I), or the IJTAG Network
Viewer (N).
H,F Green diamond: pin with single connection available to display. Click to
display unambiguous connection from this pin.
H,F Orange diamond: pin with multiple connections available to display. Click
to open the Tracer table and select the tracing destination.
H Blue diamond: ambiguous bidirectional pin. When all drivers and drains of
the port are visible, the color changes to green or orange, as appropriate.
Click to open the Tracer table and select the tracing direction and
destination.
I Tan square: implicit connection on the ICL Schematic. The pin is not
constrained by the parent module, and is assumed to be driven or active
as needed.
H,I Circle with 0/1/X/Z (green): tied to 0/1/X/Z. When shown on a bus port,
click to open the Pins context table to show the tie values on all bus pins.
H,I Circle with "a": ambiguous tie values (mix of some or all of 0/1/X/Z) on
bus. Click to open the Pins context table to show the tie values on all bus
pins.
H,F,I,N Diamond with "+": collapsed buffers and inverters. Click this symbol to
expand.
• Green: no hidden fanout.
• Orange: fanout within collapsed region.
H,F,I,N Diamond with "-": expanded buffers and inverters. Click this symbol to
collapse.
• Green: no fanout in collapsible region.
• Orange: collapsing hides potential fanout.
H,F,I,N Collapsed callout. The green symbol indicates that the callout was added
with the "add_schematic_callout ‑collapsed" command. View the callout
text as a tooltip by mouse hover. The yellow symbol is a native callout,
which you can expand with the "Collapse/Uncollapse callout" toolbar
button.
H DRC violation callout. This symbol indicates that there are DRC violations
related to the marked object. View the number of DRC violations by
mouse hover. Click the symbol to open the list of violations in the DRC
Violations table.
I,N Simulation data callout. Hover over or click this symbol to show simulation
data for scan registers.
H Red scissors: cut point (input connections cut or disconnected by the user
or the tool).
F Green scissors: cut point driver (single sink). Click the green scissors
symbol to show the driver or sink port.
F Orange scissors: cut point driver (multiple sinks). Click the orange
scissors symbol to display a context table showing the drivers or sinks.
H,I Orange polygon: pseudo-port (primary output added by the user or tool)
on the hierarchical schematic. Also used to indicate an ICL alias defined
on an ICL instance or port on the ICL Schematic. Click this symbol on the
ICL Schematic to show alias information in the context table.
Note:
Orange fill is also used to indicate primary input or output
pseudo-ports on the flat schematic.
I Blue polygon: indicates a pin that is driven by an ICL alias on the ICL
Schematic. Click this symbol to show alias information in the context table.
Orange indicates that there is additional fanout hidden by the buffer/inverter collapsing, as shown in
Figure 220 and Figure 221:
Additional objects appear after adding the fanouts to the schematic, and the color of the markers changes
to green as shown in Figure 222:
Note:
Only buffers and inverters added implicitly to the schematic as a result of tracing are collapsible.
These are identified with a gradient fill. Buffers and inverters added explicitly by name or gate ID
are not collapsible and are identified with a solid fill.
Context Tables
Schematics include tables to display information about the objects in the schematic. The tables take
different forms depending on the current selection in the schematic.
Context tables are interactive. They display information, but you also use them to select and manipulate
objects in the schematic. The following example shows a context table listing the data for a selected
instance.
Tracer
The context table for the tracing function provides information about the objects available to the tracing
process.
When you trace from an ambiguous point (orange trace marker) or click the Tracer button next to the
address bar when a pin is selected, the tracer context table opens. Use this table to add the next object
in the tracing path to the schematic by double-clicking the appropriate row in the table. You can select the
tracing direction and strategy, as described in “Signal Net Tracing Strategies” on page 871.
Figure 232 shows the same MUX in the Flat Schematic, as well as the user-added primary input. The
primary input is added to the schematic when you click the green scissors symbol on the MUX output.
Figure 233 shows a Hierarchical Schematic view of the same clock MUX, but in this case it has had all
of its fanout replaced by multiple user-added primary inputs. The orange dashed line representing the
original fanout net shows that this replacement is complete, and that there is more than one user-added
primary input associated with this fanout. The red scissors symbols indicate that pseudo-ports now drive
that fanout.
Figure 234 shows the same MUX in the Flat Schematic, as well as the user-added primary inputs. The
primary inputs are added to the schematic when you click the orange scissors symbol.
Clicking the orange scissors symbol displays the context table for the user-added primary inputs, and
double-clicking a row displays the flat sink.
As a result, the original fanout of the pi1 pin was disconnected, as indicated by the dashed line.
Figure 237 shows the pi1 pin in the Flat Schematic. The circle with the red X shows that it is
disconnected, and the orange scissors symbol indicates that its original fanout is now driven by multiple
user-added primary inputs. Click the orange scissors symbol to display the context table listing the flat
sinks, as shown in the following figure.
Figure 237. Netlist Driver and Context Table for User-Added Primary Inputs
Figure 238 shows the user-added primary inputs in the Flat Schematic. The orange fill indicates that
these are user-added, and the green scissors symbol indicates that they have a single original driver.
Clicking the scissors symbol shows the driver.
Related Topics
Markers
• Trace by one
Use the Options button ( ) in the schematic toolbar to select an option. A decision point is any point
where a logic decision is made, such as a gate, state element, or a hierarchical pin with multiple fanouts,
as shown in Figure 239.
You can continue tracing from the decision point by double-clicking one of the paths in the table.
For additional information about tracing buses, refer to “Buses” on page 887.
Figure 241 shows the result of a trace using the Decision Point strategy. Pin A1 on the AND gate has
been traced back through the inverter to the MUX, which is the first logic decision point.
• Trace backward — The tool activates this action if at least one input or bidirectional pin is
selected. This option enables you to trace backward to the immediately preceding decision
point from the selected pin, bus, or instance objects. If you trace from an instance object in the
schematic, the tool performs the tracing from all the input pins of the schematic.
• Trace pins — The tool activates this action if you select exactly two pins, ports, or bus pins.
The tool tries to connect the selected pins on the schematic. If the tool cannot find a connection
between the specified pins, it displays a corresponding message.
Displayed Property
All objects in Tessent Visualizer have a property called "displayed."
The "displayed" property of an object refers to the corresponding schematic for the object, and indicates
whether that object is added to the schematic. The context of being displayed is important for pin buses
because all tracer actions are run for parts of the bus being added to the schematic. By default, the
"displayed" property is indicated in tables by a distinct background color for a row, and can also be added
as a column in those tables.
By default, only those attributes with non-default values, or that were registered with the "‑show_default"
option, are shown. You can use the Show all checkbox to show all attributes, including those with default
values.
You can double-click a cell or use the right mouse button context menu in the GUI column to select a
color in the GUI marking index and show a corresponding marker near schematic objects that have that
attribute set to a non-default value. Hover the mouse pointer over that marker in the schematic as shown
in Figure 242 to show a tooltip with the attribute name and value.
Note:
The circles in the GUI column correspond to the integer GUI marking indices from the
set_attribute_options ‑gui_marking_index command. You can use > 0 or !=0 in the filter field of
this column to search for attributes with this property set.
Note:
For Boolean attributes, the marker is only shown if the value is set to "true."
An empty circle in the GUI column indicates that the attribute is set to the default value. A corresponding
marker is not displayed in the schematic window in this case. A half-filled circle applies to buses only and
indicates that not all of the pins composing the bus have the relevant attribute set to nondefault values.
Additionally, the "Value" column indicates "<multiple values>" for buses where the values for this attribute
of separate pins are not consistent.
Mouse Gestures
Zoom in or out within schematics using the left mouse button.
Figure 243 summarizes the four mouse gestures (stroke commands) available to perform a zoom.
You can also zoom in and out using the scroll wheel of your mouse.
Additional mouse gestures are available:
• Shift-click and drag with the left mouse button: area select.
• Click and drag with the scroll wheel: pan the view.
Customize the mapping of mouse gestures to specific mouse buttons and keyboard modifiers in the
Preferences dialog box. Refer to “Tessent Visualizer Preferences” on page 876.
• Schematics
• Tables
• Text viewers
• Shortcuts
• Other
Use the Schematics tab to define how schematics look and operate. Set maximum lengths for names,
set the width of net representations, redefine how the mouse works when interacting with schematics, and
choose the color theme.
There are three predefined color themes available in Tessent Visualizer schematics: light, dark, and high-
contrast. Set the color scheme with the Preferences dialog box.
Use the Tables tab to set the maximum number of rows loaded in tables and to show line numbers in
tables.
Use the Text viewers tab to set the font size and line-wrapping policy in the Text Viewer. You can also
configure the maximum number of lines displayed in the text viewer, the default being 20,000. You can
use the Text viewers tab to define an external text editor that can be launched from the Text/HDL Viewer
tab. Choose from several common text editors, or define your own preferred one. Use the variables
"%l" (line number) and "%f" (filename) as arguments to the text editor executable. For example, this text
editor definition opens the file currently in the Text/HDL Viewer tab in the Gedit editor with the cursor
positioned at the current line:
/usr/bin/gedit +%l %f
Note:
Tessent Visualizer invokes whichever executable you specify as the external text editor. Ensure
that valid and working options are set here.
Use the Shortcuts tab to review, change, and set custom shortcuts for GUI actions within the Tessent
Visualizer GUI. You cannot record any of the reserved shortcuts, such as Copy (Ctrl-C), and if you
attempt to do so, the tool displays an informational message. It manages conflicting shortcuts and does
not permit duplicate global shortcuts. However, duplicates are permitted for widget-specific shortcuts.
Macros
You can define a macro for any script or command that you can run in the Tessent Shell environment.
Open the Macros menu to define or run custom macros. You can use the Macro Editor dialog box to
create new macros or modify existing macros. Figure 247 shows the Macro Editor with two simple macros
defined. With the Macro Editor, you can do the following:
The following example shows the macros menu after creating the report myType macro in the editor. In
this example, the macro runs the report_gates command with the -type option and the predefined variable
shown in Figure 247.
You can define a keyboard shortcut, for example, Shift+R, for a new macro. You can run the macro
directly from the macros menu, or from the keyboard using that shortcut. If you attempt to record a
keyboard shortcut for a macro using an existing shortcut, an error message is reported.
Tooltips
Many Tessent Visualizer features have associated tooltips, which are informational text popups that
appear when you hover the mouse pointer over a graphical element such as an object, filter box, or
button.
Figure 249, Figure 250, and Figure 251 show examples of tooltips for several Tessent Visualizer features.
The standard window table is prepended with the string you set, as shown in Figure 253. In addition,
Tessent Visualizer is added to the window title as a suffix:
Hierarchical Schematic
Flat Schematic
ICL Schematic
Instance Browser
ICL Instance Browser
Cell Library Browser
DRC Browser
Config Data Browser
RTL Metrics Browser
Transcript
Wave Viewer
Text/HDL Viewer
IJTAG Network Viewer
iProc Viewer
Diagnosis Report Viewer
Hierarchical Schematic
This section describes features available only in the Hierarchical Schematic tab. The Hierarchical
Schematic shows a representation of the design before design flattening. Use this feature to explore the
structure of your design and the relationships between the elements making up the design.
Note:
“Schematics” on page 847 describes schematic features common to both the Hierarchical
Schematic and the Flat Schematic.
You can use the tools available from the Toolbar, or you can use the context menu to do the following:
• Show the HDL definition for the selected object in the Text/HDL Viewer.
• Show the HDL instantiation for the selected object in the Text/HDL Viewer.
• Show all pins, or hide unconnected pins, on the selected instance (as shown in Figure 255).
• Copy the active names of selected objects to the system clipboard. Active names are compatible
with Tessent introspection commands.
In addition to the common toolbar actions, the Hierarchical Schematic tab also contains a Gate Report
Settings widget. The widget opens the Gate Report Settings dialog box, which provides access to a
Note:
The Gate Report Settings widget is available only in the Flat and Hierarchical Schematic tabs.
You can edit any of the displayed options directly in the widget.
Note:
The menu items Show HDL definition and Show HDL instantiation are available only when
the Tessent Shell context is set to "dft -rtl." The Show HDL definition menu item is available for
library cells in all contexts when the library cell file is available.
Note:
By default, all pins are initially shown on an instance when it is added to the Hierarchical
Schematic if the total number of single pins and bus ports is 16 or fewer.
• Instances Table — Displays information about the instances contained within a selected
instance. For more information, refer to “Instances (Hierarchical Schematic)” on page 865.
• Nets Table — Displays information about the nets of a selected instance. For more information,
refer to “Nets (Hierarchical Schematic)” on page 866.
Figure 256 shows several symbols specific to the Hierarchical Schematic:
Design hierarchy is shown with rectangles surrounding the objects in a design instance, and library cells
are shown without rectangles and filled with gray. The leaf-level instance names are displayed above
each instance, and the module or cell names are displayed below them.
Buses
The Hierarchical Schematic can display either complete or partial buses, using the following naming
conventions:
• Partially displayed — Indicates the count of displayed pins versus the total pin count. For
example, "scan[2 of 8]".
• Single pin of a bus — The index of the displayed pin is shown. For example, "scan[3]".
You can add or remove bus pins in the display from any table that lists hierarchical pins, such as the Pins
table in the Instance Browser or the Pins context table at the bottom of the Hierarchical Schematic.
You can also add bus pins with the following procedure:
1. Select the instance in the Hierarchical Schematic. The instance name is displayed in the address
bar (refer to Figure 207 on page 849).
2. Append text to the instance name to add the bus pins. For a single pin, include the pin index (for
example, "data[3]" in "mytop/parent_module/this_instance/data[3]"). To designate the complete
bus, use the "*" wildcard character (for example, "data*").
3. Press Enter. The address bar shows both the pins and the nets. Click the pins line. The pins are
added to the instance in the schematic.
The display of bus pins affects the operation of the tracing function, because the tracer starts from
displayed bus pins. Add individual pins for tracing to the Hierarchical Schematic, or apply filtering in the
tracer table for the pins of interest.
Tessent Visualizer uses several naming and symbol conventions for buses in schematic windows. Refer
to Figure 257 for examples.
Item Description
Bus-to-scalar connection with rip index ("0" in this case) shown with mouse
pointer hover over rip symbol.
Generated name for a partial bus (two bits of a three-bit bus in this case).
Orange diamond representing multiple paths not shown from this bus pin.
Generated name of a partial bus with a single bit displayed (bit index 2, in
this case).
Buses can be split into sub-ranges as shown in Figure 258. In this case, ten bits of the 31-bit output bus
from instance s1 are merged with all ten bits of the output buses from instances s2 and s3 to form the 30-
bit merged_out_bus.
Bus rip indices can also be shown directly on the hierarchical schematic by choosing this feature from the
options menu.
Note:
This schematic does not indicate which ten bits of the output bus from s1 are connected. Use the
Tracer table to determine connectivity.
To show all bus pins when only a subset is displayed, select one or more bus pins and right-click to
access the Show all pins item from the context menu:
If the width of the bus is greater than 256 bits, this feature is disabled. To show all pins in this case, use
the Pins Table.
Loopbacks
Loopbacks defined with add_loadboard_loopback_pairs are indicated on the Hierarchical Schematic
as shown in Figure 260. All indicators such as trace markers, the tracer table, and net visualization are
available on primary inputs and outputs with these connections.
Other Symbols
Additional marker symbols indicate other hierarchical schematic features, such as tied-value indicators. A
tied-value indicator is displayed when a net is tied to a logic value or an unknown. Refer to Figure 261 for
an example, and Table 40 on page 857.
Flat Schematic
The Flat Schematic shows a representation of the design after design flattening. Use the Flat Schematic
to analyze and debug issues when the details required for analysis are not available in the Hierarchical
Schematic. For example, simulation data and functional representations of hierarchical and library cells
are available in the Flat Schematic.
Library and hierarchical cells in the design appear in the Flat Schematic as gates. Cells that consist of
a single gate are displayed with the conventional symbols for those gates. Figure 262 shows cells that
consist of multiple gates, displayed either with or without a bounding box showing the grouping. You
can control this display option using the Cell grouping checkbox in the Options menu available from the
toolbar.
You can right-click the dashed-line bounding box and use the context menu to delete the instance from
the Flat Schematic, show it in the Hierarchical Schematic, or show all gates within the grouping.
Note:
In a library cell bounding box, a NAND function that has at least one (but not all) inputs inverted
is displayed as an OR symbol. A NOR function that has at least one (but not all) inputs inverted is
displayed as an AND symbol.
Note:
When cell grouping is turned on, instances shown on the schematic are identified by their module
or primitive names (below the symbol) and leaf-level cell library names (above the symbol). When
it is turned off, they are identified by their module/primitive names and their gate IDs from the flat
model.
Many features of the Flat Schematic are similar to those in the Hierarchical Schematic. However, one
difference is that you cannot control the display of pins on most instances, with the exception of RAM and
ROM instances. You can add or remove pins for these instances by using the popup menu or by dragging
and dropping from the pins table.
Pin names on instances appear in quotation marks when the pin is not part of the design hierarchy.
ICL Schematic
The Tessent Visualizer ICL Schematic is similar to the standard Tessent Visualizer Hierarchical
Schematic, including a main schematic window, a small overview window, and an attributes table for
selected instances. There are, however, several differences and additional features.
Context Tables
In addition to the Tracer, Pins, and Instances context tables, the ICL Schematic includes two additional
context tables:
• Scan Interfaces — Shows the same information for selected instances as the Scan Interfaces
table in the ICL Instance Browser does.
In addition to the options also available in the Hierarchical Schematic options menu, the ICL Schematic
options menu includes options specific to ICL.
The Show simulation values option annotates the ICL Schematic object’s pins with their simulation data.
The tool displays a 0 or 1 to indicate the current ICL simulator value. The tool encloses the 0 and 1 bits
within parentheses (()) to indicate that these bits are part of a symbolic variable and their values are
patchable at run time. Refer to the "Retargeted Symbolic Variables" section in the Tessent IJTAG User’s
Manual for more information.
The tool displays a question mark ("?") to indicate that the ICL simulator value is not relevant and that
the tool has deferred determining the value until it is needed. The value may be determined by the IJTAG
retargeter after an iApply command when it must be determined to fulfill the current iApply targets. The
remaining unknown values are resolved when the close_pattern_set command finalizes the pattern.
The tool displays the value as an A for automatically determined signals. The tool considers these signals
to be always correct. Refer to Figure 264 for an example of automatically determined signal values on the
TAP’s FSM module.
The Highlight scan path option displays the Highlight Scan Path toolbar. Refer to “IJTAG Network Viewer”
on page 915 for complete information on this toolbar. Select the Show scan pins by default option to
display scan in and scan out ports on instances, or the Show SSN pins by default option to display the
SSN pins on the instances. This enables you to easily trace the scan path or SSN datapath.
The tool displays a tan square marker on a hierarchical pin on the inside of a block to indicate that every
element in the block normally required to be connected to the indicated pin is implicitly connected to the
signal associated with that pin.
ICL Definition
Choose "Show ICL definition" on the right mouse button menu to display an instance’s definition in the
Text/HDL Viewer. Keywords are highlighted in color.
Choose between "network end state" and "last used" in the "Highlight" menu.
Loopbacks
The tool displays loopbacks created with add_loadboard_loopback_pairs on the ICL Schematic. Refer to
“Hierarchical Schematic” on page 884 for complete information.
Instance Browser
Use the Instance Browser to navigate your design and obtain reports about its elements. It is analogous
to a file browser.
The Instance Browser consists of the following major elements:
• Tree View — A pane that shows the instances in your design hierarchy directly. Click the pane
header repeatedly to sort the tree in ascending alphabetical, descending alphabetical, or default
order.
• Child Instances table — A pane that displays information about the children of the instance
currently selected in the tree view or the Address Bar.
• Address Bar — A text field that shows the instance currently selected in the tree view and the
parent instance for instances displayed in the Child Instances table. Type an instance name in
this field to select an instance without using the Tree View or Child Instances table.
• Pins and DRC Violations tables — A table that lists the pins or DRC violations for the
instance(s) currently selected in the Child Instances table.
Note:
For huge designs, it may be more efficient to select an instance and then use the filtering
functions in the Child Instances table to find objects and explore the hierarchy from the search
results.
Click an instance in the tree view to display that instance’s contents in the Child Instances table. Double-
clicking an instance in the tree view expands the node in the tree. First, the node is selected, and it
becomes the parent instance. If the double-clicked node is different than the one previously selected, the
Child Instances table is reloaded with the children of the new parent.
Select an instance of any type in the Child Instances table to display its pins or DRC violations in the
appropriate context table. Double-click, or use the Enter key, to open the next level of hierarchy (if any) in
the Child Instances table. Press the Backspace key to navigate up one level of hierarchy.
Show instances of cells or modules in the Hierarchical Schematic, the Flat Schematic, or the Text/HDL
Viewer by right-clicking the cell or module in the tree view or Child Instances table and choosing the
appropriate option from the popup menu.
Copy the active or post-synthesis name of an instance to the system clipboard by right-clicking the name
in the tree view and choosing the appropriate option from the popup menu. Active names are compatible
with Tessent introspection commands; post-synthesis names are not.
The Child Instances table and Pin/DRC Violations table include toolbars that provide the common controls
described in “Tables” on page 839, as well as buttons you can use to switch between pin information
and DRC violations in the table. The Child Instances table has a Hierarchy-Up button to navigate to
the parent of the currently selected instance. In addition, the Child Instances table has a gear icon for
additional Options. If you click the gear icon, a dropdown list with options displays (Refer to Figure
271 on page 898). If you select the Enable cell grouping checkbox, the tool enables cell grouping
and groups all the library cells at the current hierarchy level into a single new node. The tool creates
this new node with the instance type LibraryCells. The fault statistics for all the cells in the current
library are collected under this node. If you select the Exclude unlisted faults checkbox, the tool runs the
report_statistics command with the ‑hierarchy and ‑exclude_unlisted_faults switches to calculate coverage
statistics.
Note:
The tool provides cell grouping only for the Hierarchical Instance Browser.
To debug directly in the instance browser, use the sorting and filtering functions as shown in Figure 272
to investigate faults and design rule violations, and to discover instances with problematic coverage
statistics. In this example, the test coverage loss and total DRC violations columns have been added and
sorted, and a significant problem can be seen in the U_3 instance.
Related Topics
Search Features
ICL Instances
You can examine several types of ICL objects in the ICL Instance Browser:
• Instance
• ScanMux
• DataMux
• ClockMux
• ScanRegister
• DataRegister
• LogicSignal
• OneHotDataGroup
• OneHotScanGroup
• Primitive
Refer to "Instrument Connectivity Language" in the Tessent Shell Reference Manual for information on
these and other ICL objects.
• Show ICL definition — Similar to the Show HDL definition action in the hierarchical design
Instance Browser. Displays the ICL object’s definition in the Text/HDL Viewer.
• Show on ICL Schematic — Similar to the Show on Hierarchical Schematic action in the
hierarchical design Instance Browser. Displays the ICL object on the ICL Schematic.
• Show on Hierarchical Schematic — Displays the hierarchical design object associated with the
ICL object in the Hierarchical Schematic, if a mapping from the ICL object to a hierarchical design
object exists.
• Copy name(s) — Copies the name of the selected ICL object to the system clipboard.
Context Tables
The ICL Instance Browser includes two context tables: one for the ICL instance pins, and another for
the ICL scan interfaces. These interactive tables display information about the ICL objects. You can use
these tables to select and manipulate these objects. Use the Columns and Filters editor to control the
information that is displayed in these tables.
Related Topics
Search Features
• Left Pane — Lists the available cell libraries. This pane can include data such as the module
name, statistics including the number of instances in the design, and fault coverage.
• Right Pane — Shows the instantiations of those library cells in the design. You can add columns
to display data such as the attributes of those instantiations, the hierarchical name, the parent
module, and fault information.
Click in a row in the left pane to show the instantiation information for that cell type in the right pane.
Right-click an instance name in the table in the right pane to get access to all actions available for
hierarchical instances.
Related Topics
Search Features
DRC Browser
The DRC Browser is a tabular reporting tool for exploring the rule violations in your design and ICL data
models. Customize the browser to group and filter DRC violations by various criteria.
The DRC Browser consists of two panes. The left pane enables you to group the violation list by rule
name (the default), instance, or module.
The right pane shows specific information about the violations associated with the rule, instance, or
module selected in the left pane, such as:
• Violation name
If you group by instance or module, data for the violations in the selected instance or module is displayed.
You can display the specifics for a visualizable rule violation in a schematic or the Text/HDL Viewer
by double-clicking a row in the right pane of the DRC Browser, or by right-clicking and choosing the
appropriate item from the popup menu. You cannot visualize all DRC violations.
Note:
This method is equivalent to issuing the analyze_drc_violation command on the Tessent Shell or
Transcript command line.
You can view the results of analyzing many ICL DRC violations in Tessent Visualizer by double-clicking
them in the DRC Browser window or using analyze_drc_violation on the command line.
The following DRC violations are visualized in the Text/HDL Viewer:
• ICL92-ICL96
• ICL100
• ICL113
• ICL136
The following DRC violations are visualized in the ICL Schematic:
• ICL68
• ICL76
• ICL77
• ICL80
• ICL111
• ICL117-ICL119
• ICL134
• ICL140
Related Topics
analyze_drc_violation
When you open the Config Data Browser with the Open menu action, no wrappers or tabs are displayed
unless a previous session was restored. Use the navigation bar in the top toolbar to add new tabs with
configuration trees. First, choose a partition from the Partition dropdown list in the toolbar. Then, choose a
wrapper by typing or pasting a hierarchical name in the navigation bar. You can use tab completion while
typing a wrapper name. If the wrapper has already been loaded into the GUI, the existing tab is raised
and the specified wrapper is selected. If the wrapper has not yet been loaded into the GUI, a new tab is
opened and the specified wrapper is selected in the tree view.
Alternately, you can use the add_config_tab command to open a configuration tree in the Config Data
Browser.
The tree view pane enables you to browse wrappers in the configuration hierarchy, and the table pane
displays the properties for the currently selected wrappers. The property table includes features common
to other tabular views in Tessent Visualizer such as filtering and exporting.
Unspecified wrappers and properties with unspecified default values appear in gray text. User-specified
wrappers and properties appear in black text, unless there is an error. If an error is attached to a wrapper
or property, the text appears in red, and the parent wrappers of the node with the error appear in orange.
The "default" partition enables you to edit the configuration in the GUI. Right-click a property and choose
one of the options to edit it or copy its contents. You can also double-click a property name or a value
to open a popup to change the value. You can also use the context menu on a wrapper to add data
properties or repeatable properties to the wrapper, or to add new wrappers above as parents or below as
children of the wrapper, as shown in the following figure.
For PatternsSpecification, PatternsGroup, or Patterns wrapper trees, you can use the checkboxes next
to each wrapper to select only those wrappers that you want the tool to process or validate. The tool
displays a checkbox only next to processable wrappers. The following points explain the behavior of the
tree widget:
• If you do not select any wrapper, the tool processes or validates the root wrapper. This is the
default behavior.
• If you select at least one child wrapper, the tool indicates this partially-selected state using the
checkbox next to the parent wrapper. The tool can process or validate only one child wrapper at a
time.
• If you select or uncheck a parent wrapper, the tool updates the states of all the child wrappers to
match the parent wrapper.
• If you select or uncheck all the child wrappers, the tool updates the state of the parent wrapper to
match the state of the child wrappers.
Choose Set name(s) to change the names of named wrappers (ICLNetworkVerify is shown in the figure).
Other actions enable you to cut or copy and paste wrappers, delete wrappers, or move wrappers to new
positions. Use Specify and Unspecify with some wrapper types to mark them as user-specified or to
reset their properties to their default values, respectively.
The toolbar in the view pane for the wrapper tree includes several action buttons, as described in the
following table.
Button Action
Export config data — saves the displayed configuration data to a file using the
write_config_data command.
Hide unspecified — removes all unspecified wrappers from the current view.
The tool stores a snapshot of the GUI configuration data for the root wrapper displayed in the Config Data
Browser. To save the current state of configuration, click and specify the snapshot name in the
dialog box that opens. The tool also automatically saves a snapshot of the current configuration whenever
If you want to restore the GUI configuration to one saved previously, select the required configuration
from the dropdown list. The tool restores the Config Data Browser to the saved configuration. The
configuration you select becomes the latest saved configuration and moves to the top of the dropdown list
with its updated timestamp.
The tool can distinguish between the snapshots you save and the ones that are automatically saved. If
you try to save a snapshot of the current configuration and if the previously saved configuration is the
same, the tool updates the name and timestamp of the previously saved snapshot and moves it to the top
of the dropdown list.
The number of snapshots of each type per configuration tree is limited to 10. The tool removes the oldest
snapshot from the list once the limit is reached. The tool also deletes all saved snapshots when the
Tessent Visualizer session is closed (close_visualizer ‑server) or if the specified root wrapper is removed.
Related Topics
add_config_tab
delete_config_tabs
process_dft_specification
process_patterns_specification
report_config_data
write_config_data
The RTL Metrics Browser tab contains the following major elements:
• Tree View — Displays the instances in your design hierarchy that have their metrics calculated.
• Child instances table — Displays information about the metrics for children of the instance you
select in the Tree View or the Address Bar.
• RTL constructs table — Displays information about the subconstructs of the instance you select
in the Child instances table.
Tip
Use the Columns and Filters Editor button to add or delete columns.
Button/Checkbox Action
Views Displays a dropdown list from which you can select one of the following
predefined views. When you switch between the views, the tool replaces the
currently displayed columns with a different set of columns.
Button/Checkbox Action
• Pre-synthesis metrics — Displays columns corresponding to the metrics
described in the "Code Complexity Metrics Pre-Synthesis" table
• Post-synthesis metrics — Displays columns corresponding to the metrics
described in the "Code Complexity Metrics After Synthesis" table
• Post-TPA metrics — Displays columns corresponding to the metrics
described in the "Code Complexity Metrics After Test Point Analysis"
table
Aggregate Mode Displays the same columns for the same instances in the Child
instances table except it displays the aggregate values in the columns.
The tool populates the table after running the "report_rtl_complexity
-aggregate_mode" command.
All Displays all subconstructs of the instance you select in the Child instances
table.
Code Complexity Displays all subconstruct objects that contribute to the code complexity
score of the instance you select in the Child instances table.
Visible Nets Displays all RTL Visible Nets objects that have test points in the instance
you select in the Child instances table. The tool populates this table after
performing test point analysis with the "report_rtl_complexity -effort high" or
"analyze_test_points" commands.
Expressions Displays all RTL Expression objects that have test points in the instance
you select in the Child instances table. The tool identifies test points at the
boundaries of, or inside, an expression object. If the test point is inside
an expression object, the tool displays it using the metrics associated
with the corresponding functional block. The tool populates this table after
performing test point analysis with the "report_rtl_complexity -effort high" or
"analyze_test_points" commands.
Control Statements Displays all RTL Control Statements objects that have test points in the
instance you select in the Child instances table. The test points can be
identified inside control statements declared in sequential context (process,
or function/task) such as if-then-else or case statements. The tool populates
this table after performing test point analysis with the "report_rtl_complexity
-effort high" or "analyze_test_points" commands.
Related Topics
analyze_test_points
report_rtl_complexity
Option Description
Show RTL location Displays the location of the module, instance, or RTL object you select
in its respective Verilog file. The tool displays this Verilog file in the
Text/HDL Viewer tab.
Transcript
The Tessent Visualizer Transcript tab provides access to the Tessent Shell command line and a record of
commands used and responses to those commands.
Text in the Transcript is highlighted as shown in Figure 280:
You can issue Tessent Shell commands directly from the Transcript, with the same features as the
standard Tessent Shell command line. (For example, command history using the up and down arrow
keys, command completion using the Tab key, and multi-line commands.) Commands are syntactically
highlighted as you type them.
Press Ctrl+Enter to enable multi-line command mode. The background color of the command entry box
changes to light blue to indicate that multi-line command mode is active. Use Shift+Enter to insert a new
line and the arrow keys to navigate over the multi-line command. Multi-line commands can be recalled
with the up-arrow and edited as shown in Figure 280.
Wave Viewer
Use the Tessent Visualizer Wave Viewer tab to debug and analyze Test Setup and Test End data. This
tab displays the Test Setup and Test End data as waveforms with their corresponding signal values
over time. The Wave Viewer tab contains a toolbar, a signal pane, and a generic plotting widget. It also
provides an option to export the waveform data to Value Change Dump (VCD) files. You can use these
VCD files with other waveform viewers to further analyze and validate the behavior of your design.
Note:
To use the Wave Viewer tab, it is necessary to have a flat model of the design. For any
hierarchical pins, the flat model must include their equivalent pins.
To open the Wave Viewer tab, right-click the pins of a hierarchical or flat schematic. From the context
menu that appears, select Add to Wave Viewer. Additionally, you have the option to add pins to the
Wave Viewer from various sources such as search tabs, the Instance Browser, or from context tables that
display pins or gate pins. When adding pins individually to Wave Viewer, use the Add to Wave Viewer
option. If any of the selected pins are either a bus or a bi-directional pin, the Add to Wave Viewer as
option is enabled. The Add to Wave Viewer option is also available to add all the signals for an element
of type "Instance", such as a library cell or a flat gate, from schematics and tables.
In addition, there are two more ways to open the Wave Viewer. First, you can use the
add_wave_viewer_signals command to open the Wave Viewer and add signals directly. This command
enables you to specify the specific signals you want to view in Wave Viewer. Second, you can access the
Wave Viewer directly from the dropdown menu in the Tessent Visualizer window. Click the Open menu
and select the option to open the Wave Viewer.
In the Wave Viewer toolbar (refer to “Wave Viewer Toolbar” on page 913), you can select either the Test
Setup or Test End procedure. If the Test Setup or Test End procedure is not loaded into Tessent Shell,
but there is a flat model, you can still add signals to the list, but there is no data on the plots. The tool
automatically switches to the None option and displays a warning message on the toolbar.
After you have chosen the desired procedure, you can export the waveform data to a VCD file or a dofile.
Use the "Export Wave Viewer data" option in the toolbar to create a dofile that you can use to restore the
pins that are currently displayed in the Wave Viewer tab.
Right-click a set of signal names in the left pane to access a context menu. This menu displays a list
of supported actions that you can choose from to perform various operations on the selected signals.
refer to Table 45 on page 914 for a detailed list of the available actions. You can also use the keyboard
shortcuts and mouse actions described in “Keyboard Shortcuts” on page 931.
To remove specific signals from the Wave Viewer, select the signals you want to delete and then
utilize the delete actions found in the Wave Viewer toolbar. You could also right-click a set of
signals and delete them from the signal pane using the context menu. Another option is to use the
delete_wave_viewer_signals command.
The following topics provide more information about the specific actions that the tool can perform in the
Wave Viewer tab:
Button Description
None/Test Choose whether to use the test setup or the test end procedure. If there is no
Setup/Test End simulation data present, the tool sets this option to "None".
Moves the active cursor to the previous transition for the selected signals.
Moves the active cursor to the next transition for the selected signals.
Displays the current position of Cursor 1. Use the range field to move C1 to a
different location. The range displayed varies based on the data loaded into
Wave Viewer. Click C1 to highlight or select it.
Displays the current position of Cursor 2. Use the range field to move C2 to a
different location. By default, C2 is set at 0 ns. Click C2 to highlight or select it.
Calculates and displays the absolute distance between the C1 and C2 cursors.
Option Description
Show on Displays the selected signal on the Hierarchical Schematic. This option is
Hierarchical available only if you select a hierarchical pin.
Schematic
Insert separator Adds a separator below the currently selected signals. The separator acts
as a visual marker for grouping between signals. You can drag and place the
separator at any location within the signal list. A separator name can contain
only alphanumeric, hyphen (-), and underscore (_) characters.
Label Edits the name of the currently selected signal to a name you specify. You
cannot edit the name of the test procedure <cycles> and individual bus
pin signals. A label name can contain only alphanumeric, hyphen (-), and
underscore (_) characters.
Group Groups selected signals. You cannot group signals that belong to a different
group or a bus, the test procedure <cycles> signal, or separators. A group name
can contain only alphanumeric, hyphen (-), and underscore (_) characters.
Ungroup Ungroups signals from selected groups. The ungrouped signals then appear in
the signals list in the order they were present within the groups.
Radix Sets the default radix for a selected signal. The radix for each signal can be
binary, octal, decimal, or hexadecimal. This option is available only if you select
a bus signal or the cycles signal.
Color Sets a color for the selected signal. This option is available even if you select
multiple signals. The tool colors an x-value signal red, and a z-value signal blue
by default. The test procedure <cycles> signal is displayed in yellow color.
Delete Selected Deletes selected signal. You cannot delete a subset of signals of a bus pin or
the test procedure <cycles> signal.
Copy name(s) Copies the names of the selected signals. This action creates a Tcl list in the
system clipboard that can be pasted elsewhere.
Text/HDL Viewer
The Text/HDL Viewer enables you to examine the HDL description of your design or cell library. The text
displayed in this window includes syntax highlighting, and can be copied to the system clipboard for use
elsewhere.
Open the Text/HDL Viewer by right-clicking objects in the Instance Browser, Cell Library Browser, or a
schematic and choosing Show HDL definition or Show HDL instantiation from the popup menu. Figure
282 shows the Text/HDL Viewer for an object with the appropriate line in the HDL highlighted where the
The files opened by the Text/HDL Viewer display on tabs at the bottom of the viewer. To open the current
file in an external text editor, right-click the tab and choose Open in external editor from the popup
menu. Use the Tessent Visualizer Preferences dialog box to specify the external text editor.
To copy the file path of the current file to the system clipboard, right-click the tab and choose Copy file
path from the popup menu.
Note:
When Tessent Shell is in the "dft -rtl" context, you can select a text object in the viewer and
display it in the Hierarchical Schematic. To do so, select a text fragment, right-click, and choose
Show on Hierarchical Schematic.
The SIB tree in the left pane displays a hierarchical view of the SIB instances in the network. Select an
instance in the SIB tree and choose Show on IJTAG Network from the right-click popup menu to display
it in the schematic view. The IJTAG Network viewer also includes a schematic overview (similar to the
overview in the Flat and Hierarchical Schematics) and a table of attributes for the selected instance in the
right pane. The right pane is collapsed by default. Expand it to observe the schematic overview and the
attributes table.
Select an instance in the IJTAG Network viewer and choose Show on ICL schematic from the right-
click context menu to display it in the ICL Schematic. You can also choose Trace to scan input, Trace
to scan output, and Trace backward from this menu. When you select an instance, the IJTAG Network
viewer also highlights the parent SIB of the selected instance in the SIB tree.
Select a "from_so" pin on a SIB instance in the IJTAG Network viewer. Right-click and choose Expand
SIB ring from the context menu to show the associated IJTAG nodes hosted by the SIB. The tool traces
scan paths from the "from_so" and "si" pins on the SIB to find the closest common point and displays
these scan paths with the IJTAG nodes that belong to those paths.
You can also trace to scan inputs and outputs by selecting an instance in the SIB tree and using the right-
click context menu.
Hover the mouse pointer over a mux symbol in the IJTAG Network viewer to display the select values, as
shown in Figure 284. The tool also displays the active mux input with < on the symbol.
Use the Options button ( ) in the toolbar to set options unique to the IJTAG Network:
• Collapse scan registers — Select this option to collapse the scan registers. This is similar to
Collapse buffers/inverters in the Hierarchical or Flat Schematics. Refer to "Buffer and Inverter
Collapsing on page 859" for further information.
• Show simulation values — Select this option to display simulation values in the IJTAG Network
viewer. Hover the mouse pointer over the callout associated with a shift register to view the
simulation values, as shown in Figure 285.
• Highlight scan path — Select this option to display the Highlight Scan Path toolbar as shown in
Figure 283 on page 916. Use this toolbar to select the current top interface with its scan chain.
This toolbar provides GUI access to the set_icl_network -top_client_interface and set_icl_network
-current_scan_chain_number commands, which are available in the patterns -silicon_insight sub-
context.
Choose a scan path highlighting type:
◦ last used — Highlights the scan path determined when the iApply command was most
recently run.
◦ network end state — Highlights the scan path determined when the close_pattern_set
command was run. If you have not run close_pattern_set, the scan path is not highlighted.
The highlighted path is an IJTAG data path. The value of the -network_end_state switch for
the close_pattern_set command affects the highlighting of the scan path. Refer to the Tessent
Shell Reference Manual for details.
If the close_pattern_set command is run immediately after the iApply command and without
the -network_end_state switch (or with the default value of that switch), the "network end
state" choice behaves like the "last used" choice. An exception to this is when the iApply
affects only the instruction path.
Use the Show SI and Show SO buttons to show respectively the scan-in or scan-out port of the
currently selected scan interface.
When you highlight SIBs in the IJTAG Network Viewer directly or with the add_schematic_objects
‑highlight options, the corresponding entry in the SIB tree is also highlighted as shown in Figure 286.
iProc Viewer
The Tessent Visualizer iProc Viewer is similar in form and function to the Tessent Visualizer Text/HDL
Viewer, with additional features.
Choose the ICL module and iProc from the table to the left of the viewer pane as shown in Figure 287.
To show iProcs in the viewer pane, select one or more rows in the table, right-click, and choose Show in
iProc Viewer. Alternately, drag the selected row or rows from the table into the viewer pane.
The iProc Viewer features color syntax highlighting and a find function similar to the Text/HDL Viewer.
To display data about the iProc, hover the mouse pointer over its tab in the viewer as shown in Figure
288.
If the filepath of the iProc is available, and the file is accessible on disk, you can right-click the tab and
open the iProc in an external editor.
• Symptoms
• Suspects
• Sub-suspects
Figure 289 also shows text searching in the Diagnosis Report Viewer.
Figure 290 shows the three panes of the tabular layout for the diagnosis report. The left pane is a list
of the symptoms denoted with integer IDs. Select a symptom in this pane to display a list of suspects in
the right top table. Double-click an object in the table, or right-click and choose Show on Hierarchical
Schematic, to show it in the Hierarchical Schematic. If a suspect has sub-suspects, the bottom table
displays a list of sub-suspects.
Search Features
The Tessent Visualizer GUI provides multiple search features.
• From the main menu bar, open the Search menu to search for instances, pins, gate pins, or
specific ICL object types in your design. You can also search for iProcs or configuration elements
currently defined in the Tessent Shell session.
• For browser tabs, you can directly open the related search tab using the Search button in the
toolbar.
• You can also use the Ctrl+F shortcut from browser tabs to open related search tabs; the tool
opens the search tab matching the table currently in focus.
Instances
Figure 291 shows a search for all instances in the design with module names that begin with the string
nor.
Pins
Figure 292 shows a search for all hierarchical pins with the string mode in the pin name.
Note:
The search for pins in a large design can be time consuming because the tool searches across all
hierarchical instances and their pins.
Gate Pins
Figure 293 shows a search for flat pins (gate pins) that have a hierarchical pin with a leaf name of Q
below the bsr_i1 instance.
You can select one or more rows in a filtered search table. Then, use the right-click popup menu to
show those objects in a schematic, add them to the pin data (pin searches), or show the HDL definition
(instance searches).
Note:
The display properties for Search Instances and Search Pins are based on the Hierarchical
Schematic. The display properties for Search Gate Pins are based on the Flat Schematic.
You can search for ICL aliases in a similar fashion with Search > Search - ICL Aliases.
Refer to “Tessent Visualizer Components and Preferences” on page 838 for information about interacting
with these tables in Tessent Visualizer.
To view iProcs from the iProc Search window, select one or more rows, right-click them, and choose
"Show in iProc Viewer." Alternately, drag and drop the rows into the iProc Viewer.
Config Properties
Use Search > Search - Config Properties to find a particular configuration property or wrapper path in
the currently loaded configuration. Scroll through the list of wrapper paths and properties or use filtering to
find the path and property of interest. Use the right-mouse-button context menu as shown in the following
figure to set the property value or to reset it to its default value, to change the name of a named wrapper,
or to show the wrapper path in the Config Data Browser.
Refer to “Config Data Browser” on page 904 for more information.
RTL Metrics
Use Search > Search - RTL Metrics to search through all RTL metrics of the instances in your design
hierarchy. You can also switch between the predefined views using the Views button in the toolbar. Right-
click on any table cell to access the context menu and choose from a range of actions as shown in the
following figure. Refer to “RTL Metrics Browser” on page 908 for more information.
Related Topics
iProc Viewer
Procedure
Perform any of the following actions:
Add pins to an instance on a 1. Select an instance on a Hierarchical Schematic, then click the Pins
hierarchical schematic. button.
A table listing the pins on the selected instance displays.
2. Click the Pins button below the schematic to display a table listing the
pins on the selected instance.
3. Use the filter feature to search for the pin you want.
4. Add the pin to the schematic by double-clicking or by dragging it onto
the schematic.
Search for pins by name. 1. Choose the Search > Search - Pins menu item.
2. Filter or sort the list of pins as needed.
3. Right-click the pin name of interest in the table and choose one of
these menu items to display the pin in a schematic:
• Show on Hierarchical Schematic
• Show on Flat Schematic
Debug test setup or test end 1. Select one or more pins on a flat/hierarchical schematic.
issues using a waveform viewer. 2. Right-click the selected signals and choose Add to Wave Viewer
from the popup menu.
This displays the selected signals in the Wave Viewer tab.
Trace a single bit of a bus. When tracing a single bit of a bus, the bit remains split from the bus.
When tracing a net that recombines into a bus, the bit indices display in
the schematic when you hover the mouse pointer, over the split point as
shown in the following figure:
Results
Listing all state elements in the fanout of a pin: the Tracer table lists all the state elements and the
distance from the source in terms of number of pins in the traced path. The pin count for the same traced
path is higher in the Hierarchical Schematic than in the Flat Schematic because of additional pins on
hierarchical boundaries.
Adding pins to an instance in a hierarchical schematic: when you add an instance to a schematic
directly or by tracing to it, the schematic displays only the pins that have connections (except for cases
where there are very few pins in total). This feature simplifies the schematic to focus on pins of interest,
improving run time.
Examples
Related Topics
Nearest State Element Tracing Strategy
Wave Viewer
Procedure
1. Log on to Support Center:
https://support.sw.siemens.com
4. Use the "Restrict content to version" dropdown list to specify the current Tessent version.
5. Click the Getting Started Guide in Document Types on the left side of the page.
6. Click the link for "Try It: Tessent Visualizer Quick Start and Example Kit Design Data."
7. Move the downloaded file to a working directory that you can access with a Linux shell.
Results
You are now ready to perform the Tessent Visualizer tutorials.
Part 1: Analyzing and Improving Test Coverage demonstrates how to analyze test coverage and explore
areas of the design that need coverage improvement:
Part 2: Troubleshooting Problems With Verilog Design Files shows how to troubleshoot a problem with a
Verilog design file, which includes the following operations:
• Recognizing problems on a schematic and locating the problem source in HDL code
Keyboard Shortcuts
A number of keyboard shortcuts are available for Tessent Visualizer.
Shortcut Action
Shortcut Action
Ctrl+S Save/Export
Shortcut Action
Ctrl++ Zoom in
Ctrl+Z Undo
Ctrl+Shift+Z Redo
Shortcut Action
F2 Set name
Shortcut Action
Backspace Go up in hierarchy
Shortcut Action
Shortcut Action
Shortcut Action
F3 Find next
Shortcut Action
F2 Set label
Ctrl++ Zoom in
Shortcut Action
Shortcut Action
Shift+Scroll down Scroll the signals listed vertically in the downward direction
• Writes the SDC to a single file named design_name.sdc, where design_name is the name of the
current design loaded in Tessent Shell
The SDC file is located next to the extracted ICL, which is typically in the dft_inserted_designs directory
as follows:
${tsdb}/dft_inserted_designs/${design_name}_${design_id}.dft_inserted_design
Every instrument type (for example, MBIST, IJTAG) of the current design and all sub-blocks that provide
SDC constraints are represented by a separate proc in the SDC file. Constraints for logictest-related
instruments such as OCC, EDT, or LBIST, including logictest-related DFT signals, are all grouped under
similar placeholder "ltest" instrument procs.
There is no need to call each instrument’s individual SDC proc. Tessent Shell provides user procs, which
are customized to call all relevant SDC procs for your design.
If you encounter a problem with SDC generation preventing ICL extraction, you can temporarily skip SDC
generation by using the following command options:
extract_icl -skip_sdc_extraction
To regenerate your SDC file for a given design and to take advantage of changes in the SDC constraints
in a newer version that does not reflect a hardware change, you can extract the SDC of your design
without running ICL extraction by loading the design’s full view and running the Tessent Shell extract_sdc
command. You should load the interface view of all physical blocks of your design. For example:
read_design <design_name> -design_identifier <design_id> -view full
extract_sdc
When it is time to use the SDC, locating your SDC file requires the following:
• Your latest TSDB location for the design you want to synthesize/analyze.
tsdb_outdir/dft_inserted_designs/myChip_rtl1.dft_inserted_design/myChip.sdc
tsdb_outdir/dft_inserted_designs/myChip_rtl2.dft_inserted_design/myChip.sdc
Note:
The latest SDC file is always a superset of the previous one. If you use a two-pass flow, you only
need to load the latest file.
tessent_set_default_variables
Tessent SDC variables can and should be redefined to better suit your setup and design. For example,
you can change the TCK period from the default of 100.0ns to any value by redefining the variable
tessent_tck_period.
Note:
The value of tessent_tck_period might depend on the maximum tck clock frequency that can
be applied to the circuit. Refer to the "IJTAG Network Performance Optimization" section in the
Tessent IJTAG User’s Manual showing how to maximize the frequency of the IJTAG network test
clock.
You do not need to edit the Tessent Shell-generated SDC file, but rather use the set command in your
synthesis script to overwrite the value of the Tessent Shell tool-specific SDC variable tessent_tck_period.
Refer to “Example Scripts Using Tessent Tool-Generated SDC” on page 979 for synthesis script
examples.
For designs with Siemens EDA Logictest IP, you possibly need to update the global Tcl variables
that reproduce your fastscan test_proc timeplate specifications, so that your SDC constraints closely
match your simulation waveforms. For more information on these Tcl variables, refer to “LOGICTEST
Instruments” on page 956.
When the design contains LogicBIST instruments, create the shift_clock_src of the LogicBIST controller
and indicate its name to the SDC procs by using the tessent_lbist_shift_clock_src Tcl array variable.
Creating this clock and setting its name with the tessent_lbist_shift_clock_src variable is mandatory.
Specify this variable as follows:
set tessent_lbist_shift_clock_src(lbist_inst<index>) clock_name
For example:
create_clock -name lbist_clock pll/clk_out_2 -period 20
set tessent_lbist_shift_clock_src(lbist_inst0) lbist_clock
If multiple controllers use the same clock, create the clock once only. However, you must still specify the
tessent_lbist_shift_clock_src variable for each controller with the same clock name. Starting at 0, specify
as many lbist_inst indices as the number of LogicBIST controllers in the current design level minus one.
For example, for a design with two LogicBIST controllers, set the tessent_lbist_shift_clock_src(lbist_inst0)
and tessent_lbist_shift_clock_src(lbist_inst1) variables.
You can find the mapping of the lbist_inst<index> identifier to the instance path name of the LogicBIST
controllers in the tessent_lbist_mapping Tcl array variable inside the tessent_set_default_variables SDC
proc. When the clock is created with a different name than its source, specify the name of the clock as
specified with -name option of the create_clock SDC command. Existence of this Tcl variable and the
clock it refers to is rule checked by the generated SDC.
Similarly, when the design contains InSystemTest instruments, indicate the clocks to SDC by using the
tessent_ist_clock Tcl array variable. You can find the mapping from the ist_inst<index> identifier to the
InSystemTest controller instance path name in the tessent_ist_mapping variable.
Another key variable to possibly overwrite is the tessent_clock_mapping array explained in the next
section. Other, much less frequently used variables are discussed in “Preparation Step 4: Redefining
Other Tessent Tcl Variables” on page 941.
This array is used by the Tessent Shell tool-generated SDC constraints to refer to your functional clocks.
They do so only through a remapped "tessent_clock_mapping(<TessentShell ClockLabel>)" array
element. By default, in tessent_set_default_variables, <TessentShell ClockLabel> and <FunctionalSDC
ClockLabel> are identical.
Note:
It is imperative that you examine this array and look for cases where this default mapping must be
updated. Overriding names are not necessary if you have used the -label option of the add_clocks
command in Tessent Shell to match the -name value in the SDC.
If you need to update one of the tessent_clock_mapping() array values, do so in your main synthesis
script, immediately after the call to the tessent_set_default_variables proc. For example, if you had a
clock defined with a label "CK25" in Tessent Shell, but in your SDC constraints the same clock is defined
with a name "PIN_CK25", you need to specify:
or
Note:
You do not need to define tessent_clock_mapping() entries for clocks that you have not specified
in Tessent Shell. Those are not used in the timing constraints.
Note:
The value of tessent_tck_period might depend on the maximum tck clock frequency that can
be applied to the circuit. Refer to the "IJTAG Network Performance Optimization" section in the
Tessent IJTAG User’s Manual showing how to maximize the frequency of the IJTAG network test
clock.
To apply the Tessent Shell-generated SDC constraints, run the merged non_modal proc:
tessent_set_non_modal
This Tessent SDC proc in turn calls all instrument non-modal procs as needed by your design and its sub-
blocks. There is nothing else for you to do than call this one proc to apply all non-modal SDC constraints.
Then, because boundary optimization applies hierarchically, you re-enable boundary optimization of the
child instances of DFT objects using tessent_get_optimize_instances:
Avoid inverting the logic signals of any Tessent IP instantiated in Tessent Shell. Signal inversion can
cause design rule checks of the gate-level netlist to fail or disrupt the ATPG flow. Find all the Tessent
sequential cells and turn off any output inversion by the synthesis tool.
Cells from your provided Tessent Cell Library instantiated in Tessent Shell must also be preserved but
can be resized to enable critical data path timing optimization during synthesis. You can get them using
tessent_get_size_only_instances:
If your design contains shared bus assemblies, you can save significant area by ungrouping their content,
with the exception of the MemoryBIST controller. For examples of the suggested procedures, refer to the
Synthesis Step 2 portions in the “Example Scripts Using Tessent Tool-Generated SDC” on page 979.
For background information, refer to "Implementing MemoryBIST With Memory Shared Bus Interface" in
the Tessent MemoryBIST User’s Manual.
link
check_design
compile -boundary_optimization [...]
write_sdc ${design_name}.merged_sdc
Note:
This section uses the following convention to shorten proc names:
<ltest_prefix> := tessent_set_ltest
• Use the SDC that you wrote out in previous synthesis Step 5 and feed it directly to your layout
tool, or
• Define all your functional SDC constraints and add Tessent DFT constraints, like you previously
did in synthesis, by repeating the steps:
◦ Call tessent_set_non_modal.
You also must preserve your Tessent DFT persistent cells from further layout logic optimization. Get the
list of these cells by calling your SDC file proc tessent_get_size_only_instances.
That is all you must do if your design does not feature Tessent logictest DFT. If you used 3rd-party scan
insertion tools, refer to their instructions as to how to constrain that mode. The rest of this section details
what you must do in layout with Tessent logictest DFT.
The preceding figure shows a schematic of a Tessent standard OCC module, with red indicators showing
where CTS exclude points must be applied to prevent balancing internal OCC logic with its driven clock
tree. That balancing would considerably increase the OCC logic clock insertion delay; this would, in turn,
shorten the setup margin of the OCC critical at-speed paths, shown by the dashed purple arrows, when
the OCC runs in fast capture mode. This could sometimes make it impossible to close timing.
In the Tessent OCC, balancing must be blocked in the following locations, corresponding to the numbers
in the figure:
proc tessent_get_cts_skew_groups_dict {} {
# This proc returns a dictionary of clock source pins from where clock tree synthesis
# balancing should stop.
# Use it in your CTS script, along with your proper tool command.
# In Synopsys ICC, invoke:
# set_clock_tree_exceptions -exclude_pins <exclude_pin>
# In Cadence Innovus, invoke:
# create_ccopt_skew_group -sources <exclude_pin> -auto_sinks -skew_group <group name>
# The effect of that command is:
1. Letting scan_en=X, unblocks a large number of false at-speed path between neighboring flops on
the same scan chains, unless you have your own custom way to globally disable these paths in
your own design environment and flow.
2. As opposed to synthesis, where all clocks are ideal, propagating test_clock with scan_en=X in
layout may enable false cross-domain paths between unbalanced functional domains. Those
paths become single-cycle paths of test_clock which, if test_clock is unbalanced, may cause both
setup and hold false timing violation.
3. Some false at-speed capture paths might get unblocked between test-only dedicated wrapper
cells and functional logic.
If your layout flow provides custom methods to work around these issues, you can run layout using only
one global set of constraints that covers both your functional and DFT modes. In such a case, you would
tessent_set_non_modal off
This adds all Tessent DFT constraints, but disables all logictest DFT paths.
Mode 2:
• This forces the "shift" mode over your design, asserting scan_en to 1 creating scan mode clocks
and taking care of DFT signals, OCC, and EDT logic setup. This covers all of your scan chain and
EDT channels paths, as well as any pipelining flop timing along the way.
• Run layout incrementally, so as to fix any leftover timing paths that were blocked in the first pass.
Mode 3:
◦ Lets scan=X, which enables covering the timing of that signal as well as some leftover timing
paths inside Tessent’s OCC clock gating circuit.
◦ Disables same-edge paths from test_clock to test_clock, leaving only retimed cross-domain
paths enabled, so as to prevent false timing violations across functional domains. Intra
domain paths are assumed covered more tightly by the functional mode constraints.
◦ Enables capture paths across your design’s top ports as single-cycle paths of test_clock.
This turns off all embedded test modes with just a few asserts of the TAP’s reset port.
For example:
-------------------------------------------------------------------------
... loading your functional constraints ....
set case_analysis_sequential_propagation always
# Hold the DFT in reset and kill TCK to make sure only functional logic is
# active.
set_case_analysis TRST 0
set_case_analysis TCK 0
-------------------------------------------------------------------------
You also need to disable your scan circuit timing by forcing your scan_enable pin to its inactive value, and
by issuing a set_false_path to/from all your lockup cells and your pipeline flops. Those can normally be
found with regular expressions such as:
You might also have to turn your BISR clock or reset pin off, if present, like in:
set_case_analysis bisr_clk 0
set_case_analysis bisr_reset 0
When you are working with a physical block or sub-block, you can turn off all DFT signals accessible at its
interface. For example:
set_case_analysis scan_en 0
set_case_analysis ijtag_tck 0
set_case_analysis ijtag_reset 1
set_case_analysis ijtag_sel 0
set_case_analysis ijtag_se 0
set_case_analysis ijtag_ce 0
set_case_analysis ijtag_ue 0
set_case_analysis ijtag_si 0
set_case_analysis edt_update 0
set_case_analysis edt_clock 0
Resetting the IJTAG logic should also reset any dedicated wrapper cells (DWC). If you want to explicitly
identify and turn off DWCs or other test logic, you can use the report_insert_test_logic_options command
to find the name infix used by the tool when it inserted the logic. Then use the introspection commands to
find the instances you want. For example, the following returns pins on DWCs:
Tip
To set your own naming convention, use the set_insert_test_logic_options -logic_infix command
before test logic insertion.
Related Topics
tessent_set_non_modal
• Loads the generated SDC file and initializes some Tcl variables used by Tessent Shell SDC
constraints.
◦ user_timing_data.tcl
◦ user_remapping_procs.tcl
◦ load_design.pt
• Sequentially runs all EmbeddedTest modes present in your design, each separated by a
"reset_design" command.
• Runs an example "report_constraints" command for each mode and redirects its output to a file.
This variable restores VHDL generate loop naming to follow Verilog style: blk(0)/jblk(0)/i0
Oasys
Use the following commands in Oasys to generate a naming scheme for generate loops (Verilog and
VHDL) that is compatible with the Tessent SDC constraints:
Note:
This section uses the following convention to shorten proc names:
<ltest_prefix> := tessent_set_ltest
tessent_set_default_variables
tessent_create_functional_clocks
tessent_<design_name>_set_dft_signals
<ltest_prefix>_disable
tessent_set_non_modal
tessent_<design_name>_kill_functional_paths
IJTAG Instrument
LOGICTEST Instruments
MemoryBIST Instrument
BoundaryScan Instrument
Hierarchical STA in Tessent
Mapping Procs
Synthesis Helper Procs
tessent_set_default_variables
This proc defines the initial/default value of all global variables used in all procs of the sdc file. The
variables contained in this proc are there for you to customize the constraints without having to edit the
constraints themselves. A good example of this would be the variable "tessent_tck_period". It currently
defaults to 100.0 (nanoseconds). If your TCK clock has a different period than 100 ns, you can overwrite
the value of this variable after having called the proc tessent_set_default_variables but before calling the
constraint procs.
Note:
The value of tessent_tck_period might depend on the maximum tck clock frequency that can
be applied to the circuit. Refer to the "IJTAG Network Performance Optimization" section in the
Tessent IJTAG User’s Manual showing how to maximize the frequency of the IJTAG network test
clock.
The mapping between LogicBIST and InSystemTest controller IDs and their instance path names is
available in this proc. Use the mapping information to indicate the clocks of these instruments to the other
ltest SDC procs as described in “Preparation Step 2: Setting and Redefining Tessent Tcl Variables” on
page 938.
This proc must always be the first proc to be run.
tessent_create_functional_clocks
This proc is generated for your convenience. It contains the SDC create_clock and
create_generated_clock commands to define the various functional clocks needed by the instruments
constrained by the SDC procs. Typically, your functional clocks would already be defined by your
functional SDC. If that is the case, you should override the default values of the tessent_clock_mapping
array to match the clock names you used in the definition of your clocks.
This proc would typically not be called in your synthesis SDC.
Required clocks are determined with ICL tracing. create_clock constraints are added for all clock sources
like top level ClockPort ports and source ToClockPort ports (embedded crystals). create_generated_clock
constraints are added for all generated clocks (add_clocks -reference), branch clocks and unidentified
ICL ToClockPort ports (typically PLLs). If your design contains an ICL instrument with a ToClockPort that
should not require a new generated clock, set the exclude_from_sdc attribute on the port.
tessent_<design_name>_set_dft_signals
This procedure forces all your specified static dft_signal sources to either reset or get their default value
during all_test, depending on the proc's argument.
2. Although all static dft signals sourced by the Siemens EDA IJTAG Test Data Registers (TDR)
reset when the IJTAG reset port is asserted, the timing tool would not propagate that assert
through the TDR flops. That may happen in Synopsys PrimeTime when the control variable
"case_analysis_sequential_propagation" is set to "never".
<ltest_prefix>_disable
This proc disables all Logictest logic in your design. You call this proc when setting up exclusive STA
mode for your IJTAG, BoundaryScan or MemoryBIST, and you do not want to bother about ltest logic and
scan chains timing at the same time.
This proc is invoked by proc tessent_set_non_modal when called with the logictest argument set to
off. Specifying on would invoke proc tessent_set_ltest_non_modal proc instead. You would typically
use on during pre-layout synthesis and off during layout. In layout, you would then need to apply two
different mode scripts in your layout tool. The second mode would time logictest-only shift logic, for
which you would invoke the proc <ltest_prefix>_shift. For more information about this proc, refer to
“<ltest_prefix>_modal_shift” on page 962.
• <ltest_prefix>_disable — Disables logictest controller and forces the all_test dft signal active. Use
this when setting up the membist STA mode. For an example, refer to “Example Scripts Using
Tessent Tool-Generated SDC” on page 979.
• <ltest_prefix>_disable all_test_x — Disables the logictest controller but does not force the
all_test dft signal. Use this when constraining your design for synthesis or for layout. For more
information, refer to “tessent_set_non_modal” on page 953
When SSH is present in the design, this proc permits ts_tck_tck to propagate to the SSH logic so it
can properly time the serial scan path to and from the IJTAG network. It assumes that timing paths
between the SSH IJTAG registers and the SSH logic always meet a single-cycle path of ts_tck_tck, with
no excessive stress to the quality of results (QoR) for the layout. Clock tree synthesis can balance both
branches of ts_tck_tck within the SSH logic.
In this case, constraints added at the SSH scan clock sources and scan_en pin prevent ts_tck_tck from
leaking into the scan domains and prevent potential false paths to memory BIST logic clocked by tck. The
following example illustrates these constraints:
tessent_set_non_modal
This proc contains calls to the non_modal procs of all constrained instruments, which are documented in
the sections that follow. For synthesis, this is the only constraint proc you need to call.
You can call this proc with no argument, or with the argument "off":
tessent_set_non_modal off
The argument "off" disables logictest circuitry by asserting dft_signal source pins and prevents adding any
non-modal logictest clocks or constraints. The default is to call the proc tessent_set_ltest_non_modal.
This is an example of the tessent_set_non_modal proc using the design "blka":
For an example of how to use the proc, refer to Single-Mode vs Dual-Mode Constraining in Synthesis/
Layout in “<ltest_prefix>_non_modal” on page 965.
tessent_<design_name>_kill_functional_paths
This procedure disables all timing paths involving non-Tessent flops. It enables running test-only timing
analysis without having to fix functional path violations with your own functional SDC constraints. It
helps making functional mode STA and Siemens EDA DFT mode STA fully orthogonal, and reduces the
Siemens EDA DFT mode STA run-time.
CAUTION:
Do not call this procedure for any logic test STA modes. It is intended for use only in the Siemens
EDA DFT mode. Refer to “Example Scripts Using Tessent Tool-Generated SDC” on page 979
for the location of an example STA script.
You can optionally run this procedure when you know that your functional logic has many intra-
domain timing paths that cannot meet default single-cycle path timing with the clocks being set by
tessent_create_functional_clocks and tessent_set_* procs.
Disabling some sequential cells in your design might accidentally block the test clocks feeding your
inserted instruments. Examples of such cells are Integrated Clock Gating (ICG) cells or flops that belong
to clock dividers. You can fix this by specifying those cells either by module name or by instance names.
By module name:
Note:
This finds only library cells that match this module name pattern. If your module is hierarchical
and the cells you are trying to preserve are below it, use the instance name option described in
the following.
By instance name:
Note:
This expression is tested against the full hierarchical instance paths. A pattern that would match a
hierarchical instance preserves all cells within it.
The procedure optionally creates a report file containing a sorted list of all disabled flops
instance names. To enable this option, you need to define the following variable prior to calling
tessent_kill_functional_paths:
set CreateDisabledFlopsReport 1
IJTAG Instrument
Tessent IJTAG provides the following procs:
• set_ijtag_retargeting_options
◦ This proc would typically be called directly in your synthesis scripts to set the supported
options and related variables.
This proc is a replica of the Tessent Shell command set_ijtag_retargeting_options supporting options that
are pertinent to SDC. Any unsupported option specified to the SDC version of the command is ignored.
-extra_control_setup_hold_cycles tessent_extra_control_setup_hold_cycles 0
-extra_tms_setup_hold_cycles tessent_extra_tms_setup_hold_cycles 0
Note:
The set_output_delay constraint includes a "-clock_fall" option for scan-out ports if you use the
"set_ijtag_retargeting_options -default_scan_out_strobe_point before_falling_edge_of_tck"
command.
• tessent_set_ijtag_non_modal
◦ This proc would typically not be called directly in your synthesis script, it is called as part of
tessent_set_non_modal.
This proc contains the clock creation for your TCK clock and configurable input and output delays for
created ports at the sub_block and physical_block design levels.
It also creates an asynchronous clock group with all TCK clocks. It is used to prevent synthesis from
balancing paths between TCK and functional clocks. This clock group could be recreated with additional
clocks if your design contains Tessent BoundaryScan or Tessent OCC hardware. This is managed via the
"tessent_tck_clock_list" global variable. This variable is built from different procs (ijtag and jtag_bscan)
and used to create an asynchronous clock group. If you have your own TCK generated clocks that are
not used in the Tessent Shell SDC constraints, we suggest adding their name to this list right after calling
tessent_set_default_variables.
tessent_set_default_variables
lappend tessent_tck_clocks_list my_tck_generate_clock
At the sub-block and physical block levels, constraints are added to reflect the timing of the IJTAG
protocol. The IJTAG reset port is declared a false path and the IJTAG select port’s hold paths are
declared false and its setup paths are given two cycles with a multicycle_path constraint.
The select signal source of all non-scan SIBs (part of the SRI network) is relaxed to MCP setup 2 hold
2. This is to reflect the protocol and enable deep combinational paths to close timing. This constraint
did not include the SIBs part of the STI network as those should be local to each physical region, and it
should be easier for timing tools to close timing. If you experience problems with scan-testable SIB select
signals, you can add those to the list of SIBs that are relaxed. However, this has an adverse impact on
scan patterns.
LOGICTEST Instruments
The section lists the logic test-based procs. LogicBIST and InSystemTest-related procs listed in the
following are included only when LogicBIST and InSystemTest controllers are present in the design.
Note:
This section uses the following convention to shorten proc names:
<ltest_prefix> := tessent_set_ltest
1. Non-modal constraints, to be merged with other DFT instrument constraints, for synthesis or
layout. Refer to this proc description for a discussion on single-mode synthesis/layout vs multi-
mode synthesis/layout flow:
◦ <ltest_prefix>_non_modal
◦ tessent_set_in_system_test_non_modal
2. Modal procs, used for signoff STA and optionally for synthesis or layout constraining:
◦ <ltest_prefix>_modal_shift
◦ <ltest_prefix>_disable
◦ <ltest_prefix>_modal_lbist_shift
◦ <ltest_prefix>_edt_shift
◦ <ltest_prefix>_bypass_shift
◦ <ltest_prefix>_single_bypass_chain_shift
◦ tessent_set_edt_slow_capture
◦ <ltest_prefix>_edt_fast_capture
◦ <ltest_prefix>_modal_lbist_shift
◦ <ltest_prefix>_modal_lbist_capture
◦ <ltest_prefix>_modal_lbist_setup
◦ <ltest_prefix>_modal_lbist_single_chain
◦ <ltest_prefix>_modal_lbist_controller_chain
◦ <ltest_prefix>_create_clocks
◦ <ltest_prefix>_set_pin_delays
<ltest_prefix>_create_clocks
This proc creates the slow-speed test clocks for use during scan mode. There are a few possible clock
configurations:
• tessent_edt_clock — This clock is created when the edt_clock source is a primary input port,
separate from the shift_capture_clock. It is not necessary when edt_clock is generated from the
test_clock.
• <ltest_prefix>_non_modal
• <ltest_prefix>_shift
• <ltest_prefix>_modal_slow_capture
• <ltest_prefix>_modal_lbist_shift
• <ltest_prefix>_modal_lbist_capture
The following is an example of the created clocks you get if you run the following commands:
add_dft_signals test_clock -source_nodes [get_ports test_clock]
add_dft_signals {edt_clock shift_capture_clock} -create_from_other_signals
set slow_clock_period \
[expr $tessent_slow_clock_period * $time_unit_multiplier]
set sc_rise_time \
[expr $tessent_shift_clock_edge1_percentage/100. * $slow_clock_period]
set sc_fall_time \
[expr $tessent_shift_clock_edge2_percentage/100. * $slow_clock_period]
set sc_waveform "$sc_rise_time $sc_fall_time"
set force_pi_rise \
[expr $tessent_force_pi_percentage/100. * $slow_clock_period]
et measure_po_rise \
[expr $tessent_measure_po_percentage/100. * $slow_clock_period]
set min_width [expr 0.25 * $slow_clock_period]
set force_pi_waveform \
"$force_pi_rise [expr $force_pi_rise + $min_width]"
set measure_po_waveform \
"$measure_po_rise [expr $measure_po_rise + $min_width]"
# test_clock:
create_clock [tessent_get_ports test_clock] \
-add -period $slow_clock_period -waveform $sc_waveform\
-name tessent_test_clock
• tessent_slow_clock_period
Tessent slow clock period (the same for all clocks).
Default: 40 ns.
• tessent_shift_clock_edge1_percentage
Timeplate position of the shift clock rising edge as a percentage of its period.
Default: 50.
• tessent_shift_clock_edge2_percentage
Timeplate position of the shift clock falling edge as a percentage of its period.
Default: 75.
• tessent_force_pi_percentage
Timeplate position of the force_pi point as a percentage of the shift clock period.
Default: 0.
• tessent_measure_po_percentage
Timeplate position of the measure_po point as a percentage of the shift clock period.
Default: 25.
Using percentages instead of absolute time values enables you to quickly modify the
tessent_slow_clock_period specification without also having to update the other Tcl variables.
The defaults described in the preceding reflect the Tessent FastScan timeplate default specifications, that
is:
timeplate gen_tp1 =
force_pi 0 ;
measure_po 10 ;
pulse_clock 20 10 ;
period 40 ;
end;
create_clock <port> -add -period 40. -waveform {20 30} -name tessent_test_clock
create_clock -period 40. -waveform {0 10} -name tessent_virtual_force_pi
create_clock -period 40. -waveform {10 20} -name tessent_virtual_measure_po
Finally, two more global Tcl variables might be necessary to specify the timing budget of the scan data
paths outside of the current module:
• tessent_scan_input_delay
Default value: 0.
• tessent_scan_output_delay
Default value: 0.
Update these variables if you plan to retarget your test vectors from a higher level module and you
budgeted some propagation delay for your scan signals in that module. Here is how the variables are
used:
Currently, the extract_sdc command assumes that timeplate specified values are identical for both
load_unload and capture phases, which is the Tessent FastScan default. Although, the non_modal ltest
proc has to use only one set of specifications, modal shift and capture STA may have to run with different
timeplate specifications. If it is your case, you can do it by updating the preceding Tcl variables prior
to calling the selected shift or capture modal proc. The fast_capture modal proc ignores those values,
because it uses your functional waveform specifications.
<ltest_prefix>_edt_fast_capture
This proc must be called in your STA to constrain the EDT in fast capture mode. Logictest fast capture
mode is the one that detects your at-speed transition faults. It counts on user SDC to provide the capture
clocks and timing exceptions that would actually time the capture paths. Typically this would be your
original functional SDC constraints, but those might still require some adjustments if your test conditions
(clock frequencies, false paths) slightly differ from what they are in functional mode. Obviously, you also
need to remove any constraint that resets the IJTAG network or ties the scan_enable or test_enable
control pins to zero.
If present, the following dft signals are turned off:
Finally, the proc also disables any other timing paths that are ignored during capture, such as those going
through the EDT channel pins.
tessent_set_edt_slow_capture
This proc must be called in your STA to constrain the EDT in slow capture mode.
The proc does the following:
• Declares the test_clock and lets your scan_en dft signal toggling, so that it can be timed and
relaxed with your number of dead cycles specification.
• Disables same-edge paths from test_clock to test_clock, leaving only retimed cross-domain paths
enabled, so as to prevent false timing violations across functional domains. Intra domain paths
are assumed covered more tightly by the functional mode constraints; enables capture paths
across your design’s top ports as single-cycle paths of test_clock.
• Sets an MCP for your scan_en signal using your global Tcl variables
tessent_scan_en_setup_extra_cycles and tessent_scan_en_hold_extra_cycles.
• Set an MCP for your edt_update signal, if present, using your global variables
tessent_edt_update_setup_extra_cycles and tessent_edt_update_hold_extra_cycles.
• Enables capture paths across your design’s top ports as single-cycle paths of test_clock.
Note:
Just as with the preceding <prefix>shift_ltest_non_modal proc, propagating test_clock may create
false capture timing paths across asynchronous domains as single-cycle paths of test_clock.
This may or may not be a problem, given that test_clock fanout is balanced and running at slow
speed. By default, this proc assumes that all valid intra-domain hold timing paths were already
covered by your functional modes STA, and therefore sets a multicycle_path of 1 hold to all
paths from test_clock to itself. Remember that all scan mode shift paths are properly covered
for both setup and hold by the "xxx_ltest_shift" mode proc defined in the preceding. If you
need to, you can still force the proc to revert to zero-hold constraint by setting the Tcl variable
tessent_time_hold_in_slow_capture to value 1 in your calling script.
<ltest_prefix>_modal_shift
This proc sets the circuit in scan shift mode. It covers both EDT shift modes and all available bypass
modes, assuming you run them all with the same shift clock frequency. If you need more specific timing
analysis, you can choose to apply the following procs in separate STA runs. These procs sub-invoke the
<ltest_prefix>_shift proc:
• <ltest_prefix>_edt_shift
• <ltest_prefix>_bypass_shift
• <ltest_prefix>_single_bypass_chain_shift
The <ltest_prefix>_shift proc applies a set of common constraints required for shifting. Then they only
need to complete their mode setting by forcing the edt_bypass and single_chain_bypass signals to the
required constant value.
The proc <ltest_prefix>_shift does the following:
Note:
You can save on total STA time and flow complexity if you already plan to apply the same
test_clock (with the same period) for all shift and bypass modes. In this case you only need to call
the <ltest_prefix>_shift proc directly in place of all of the three procs defined in the preceding.
Note:
If you plan to feed your synthesis or layout tool with two separate mode scripts (functional/dft and
logictest), the <ltest_prefix>_shift proc is the one you need to apply for the logictest mode. That
way, all possible shift paths are timed, and because scan_enable is forced active, it prevents the
existence of a potentially large number of false capture timing paths across your functional logic.
It also covers all of your possible scan chain configurations at once, including the internal and
external mode for cores. Refer to later discussion on logictest single-mode or dual mode usage—
refer to <ltest_prefix>_non_modal.
<ltest_prefix>_modal_lbist_shift
You can configure timing analysis for this mode to run with shift_clock_src, test_clock, or ijtag_tck. The
default is shift_clock_src. Add the optional test_clock or ijtag_tck argument when calling this SDC Tcl proc
to analyze timing with test_clock or ijtag_tck, respectively.
The proc does the following:
• Adds multicycle path exceptions on dynamic signals from the LogicBIST controller to design scan
cells and hybrid EDT blocks. These include LogicBIST mode scan enable, prpg_en, misr_en, and
LogicBIST synchronous reset for the hardware default mode of operation.
• Adds case analysis on the mux, which chooses between the top-level scan enable for ATPG and
the LogicBIST controller-generated scan enable to enable only the LogicBIST mode paths.
• Disables paths from edt_chain_mask masking registers to the scan cells. This constraint is
required because even though this register is configured using tck as the source, the clock net
connected to the edt_lbist_clock signal carries both edt_clock and shift_clock_src clocks.
• You added the following dft signals in your current design level: scan_en, test_clock, or
(edt_clock and shift_capture_clock).
• And you did not insert a Tessent logictest-related controller, such as EDT or logicbist in that same
level.
These two procs properly assert all static dft signals, such as scan_en and ltest_en. They are the "no-
EDT" version of the following two procs:
• <ltest_prefix>_modal_edt_slow_capture
• <ltest_prefix>_modal_edt_fast_capture
Because no EDT is present in the current design, extract_sdc does not generate these procs:
• <ltest_prefix>_edt_bypass_shift
• <ltest_prefix>_edt_single_chain_shift
However, if your design meets all of the following conditions:
• You intend to run these modes at a test_clock frequency that differs from their EDT mode.
<ltest_prefix>_modal_lbist_capture
This mode is similar to <ltest_prefix>_modal_lbist_shift, except that the LogicBIST mode scan enable is
constrained to off.
To use this proc in your SDC file, you must do the following:
2. Based on the clocking scheme, declare false paths between clock domains that do not pulse
together.
<ltest_prefix>_modal_lbist_setup
This mode propagates tck through the IJTAG network within the LogicBIST controller and hybrid EDT
blocks to time the LogicBIST test_setup paths that initialize registers such as PRPG and edt_chain_mask,
as well as test_end paths that read the MISR signature.
This mode does not propagate tck to the design scan cells because it is only intended to check the IJTAG
network paths.
<ltest_prefix>_modal_lbist_single_chain
This mode is available when single chain mode logic that enables LogicBIST diagnosis is enabled
during IP generation. This mode propagates tck through the IJTAG network paths and design scan cells,
including the concatenation of the internal scan chains for single-chain shifting. The LogicBIST scan
enable is constrained to 1 to time only the shift paths in the design with the tck signal.
<ltest_prefix>_modal_lbist_controller_chain
This mode is available when controller chain mode (CCM) logic is enabled during IP generation. When
CCM is present, all of the previously described LogicBIST modes disable the controller chain logic by
constraining the ccm_en signal to off. This mode tests the CCM paths by constraining the ccm_en signal
to on. The clock for this mode is either tck or test_clock, as specified during IP creation.
This mode only tests the LogicBIST and hybrid EDT controller blocks; clocks are not propagated to
design scan cells.
Paths that are normally disabled during the LogicBIST operation modes described in the preceding
become valid single-cycle paths in CCM and are timed accordingly.
• Signals such as lbist_en are not constrained to 1 because paths from the TDR register in the
LogicBIST controller to the hybrid EDT blocks that use this signal are tested with ATPG in CCM.
Paths from static signals—such as x_bounding_en, test_point_en, and capture_per_cycle—to the
design scan cells are correctly excluded because no clocks are propagated to the scan cells.
• Paths from dynamic control signals that are declared as multicycle for other modes—such as
scan_en, prpg_en, and misr_en—that go from the LogicBIST controller to the EDT blocks are
tested as valid single-cycle paths.
• Paths from IJTAG network nodes such as SIBs are timed as valid single-cycle paths of the CCM
clock.
• Input and output pin delays from top-level ports to EDT blocks and CCM scan I/O ports are
included for this mode. This differs from the LogicBIST shift and capture modes, which do not
have any active paths from or to design ports.
<ltest_prefix>_non_modal
This procedure provides SDC timing constraints to add to your combined functional-dft non-modal
timing scripts for one-pass synthesis or layout. Its contents is merged with both your functional
constraints and all other Tessent controller's non-modal constraints. It is called by the umbrella proc
"tessent_set_non_modal" proc.
The procedure calls the previously described procs:
• <ltest_prefix>_create_clocks
• <ltest_prefix>_set_pin_delays
It also:
• Defines a specific clock group (using 'set_clock_groups') for all logictest slow clocks, isolating
them from your declared functional clocks.
• Adds "set_false_path" constraints from each of your primary ports assigned to a static dft signals
such as "all_test", "ltest_en", and "edt_mode". If the same signals come instead from an internal
IJTAG Test Data Register (TDR), the "set_clock_groups" command between ts_tck_tck and the
scan clocks replaces the individual set_false_path commands.
• Provides constraints to prevent "tck" and your functional clocks to propagate to unwanted paths
inside your Siemens EDA OCCs, as well as constraints that prevent false timing violations on the
select pin of clock muxes inside that OCC.
• Provides constraints that disable automatic clock gating checks across input pins of the Siemens
EDA OCC clock multiplexers, because all OCC clock selection is either performed statically
during test setup or at slow speed in a glitchless way during scan.
• Adds an optional set_multicycle_path constraint from your primary 'scan_en' port and
"edt_update" signal, based on your setting of the global variables:
◦ tessent_scan_en_hold_extra_cycles
◦ tessent_scan_en_setup_extra_cycles
◦ tessent_edt_update_hold_extra_cycles
◦ tessent_edt_update_setup_extra_cycles
which all default to zero, meaning single-cycle paths of slow clock.
• When using LogicBIST, includes a 3-to-1 shift_clock_select mux at the clock structure root of the
LogicBIST controller. This mux enables any one of three clocks—shift_clock_src, test_clock or tck
—to be used as the source clock for LogicBIST test.
◦ Blocks tck propagation through the shift_clock_select mux into the design scan cells. This
removes analysis of the slowest LogicBIST mode of operation using tck to reduce timing
analysis run time.
◦ Propagates both shift_clock_src and test_clock to the design scan cells, but all interactions
between them are blocked.
◦ Declares multicycle paths from LogicBIST scan enable generation logic to the design scan
cells. The number of cycles are based on the pre_post_shift_dead_cycles IP generation
parameter and defaults to eight.
◦ Declares multicycle paths from logic that generates the prpg_en, misr_en, and LogicBIST
synchronous reset for hardware default mode operation signals to the hybrid EDT blocks. The
number of cycles are based on the pre_post_shift_dead_cycles IP generation parameter.
◦ Disables paths from static control registers in the LogicBIST controller—such as lbist_en and
x_bounding_en—to design scan cells and hybrid EDT blocks. This is implicitly performed by
declaring tck as asynchronous to other clocks in the IJTAG non-modal proc. When CCM is
implemented with the EDT clock as the CCM clock, explicit false paths are added to disable
such paths.
• Excludes single chain mode logic scan chain concatenation paths through case analysis on the
single bypass chain control TDR output.
• When CCM is implemented with test_clock as the CCM clock, the test_clock propagates to all
IJTAG scan elements on the tck domain. Constraints are added to disable such paths to the
tessent_lbist_shift_clock_src clock.
Single-Mode vs Dual-Mode Constraining in Synthesis/Layout
Important: As it stands in the current release, leaving the scan_enable signal free to toggle in this proc is
known to create false shift_clock-frequency timing paths across your functional design, which may or may
not affect timing closure or quality of results in layout. Siemens EDA customers’ experience greatly varies
on this front. Here are some examples of such false paths:
1. Scan chains intra-domain shift-only paths are constrained at the speed of the functional clock.
2. As a result of creating only one shift_capture_clock source and letting it propagate to all
functional clock domains, all cross-domain capture paths become single-cycle of the shift clock,
regardless of whether they are asynchronous in functional mode or not.
This said, these new timing paths do not instantly condemn your layout or physical synthesis tool to fail
timing closure or perform a bad P&R job, knowing that clock tree synthesis also balances the test_clock
fanout by default. Non-physical synthesis is generally not a problem.
On the other hand, re-constraining of all those bogus timing paths would typically require a very large
number of design-dependent timing exceptions, which extract_sdc is not able to provide at this point.
Applying them could also slow down some layout tools considerably.
The alternative to single-mode constraining is to apply individual mode scripts at different times in
the synthesis or layout tool. It is a well-known solution that has been applied by most Siemens EDA
customers historically.
1. Functional/Dft mode:
2. Logictest-only mode, covering all EDT scan configurations, such as EDT shift and EDT bypass
shift:
3. If your design contains hybrid EDT/LBIST, another logictest-only mode to cover the LBIST shift
configuration:
<ltest_prefix>_set_pin_delays
This procedure assigns the clock "tessent_virtual_slow_clock" to your top-level ports that directly interface
with your EDT controllers or your scan chains during scan or EDT mode, using the "set_input_delay" and
"set_output_delay" timing constraints.
This proc is called by every SDC proc which propagate the EDT or shift clocks, that is:
• <ltest_prefix>_non_modal
• <ltest_prefix>_shift
• <ltest_prefix>_modal_slow_capture
Input/Output Pin Delay Constraints
Input and output delay constraints are declared for the EDT control and channel pins. For example:
# channel_input:
set_input_delay $tessent_scan_input_delay \
-clock tessent_virtual_slow_clock \
[get_ports {my_edt_channels_in[0]}]
# channel_output:
set_output_delay $tessent_scan_output_delay \
-clock tessent_virtual_slow_clock \
[get_ports {my_edt_channels_out[0]}]
# edt_update:
set_input_delay $tessent_scan_input_delay \
-clock tessent_virtual_slow_clock \
[get_ports edt_update]
The same procs leave all other logictest-related dft signals toggling, and add a false_path constraint if
they come from a primary port. For example:
If the same signals come instead from an internal IJTAG Test Data Register (TDR), the
"set_clock_groups" command between ts_tck_tck and the scan clocks replaces the individual
set_false_path commands.
Procedure "tessent_set_ltest_edt_fast_capture", on the other hand, optionally asserts some of these
dft_signals under control of a user-declared variable.
MemoryBIST Instrument
Tessent MemoryBIST provides the following procs:
• tessent_set_default_variables
◦ For a tiling design, the SDC input/output delay constraints for the
SecondaryHostScanInterface BISR ports are derived from a Tcl dictionary. This proc also
includes the bisr_shsi_delay Tcl dictionary that documents the default input/output delay
percentages relative to their clocks (functional or TCK). Refer to the Memory BISR Insertion
for Tiles With a BISR Controller section for an example of a bisr_shsi_delay dictionary.
◦ This proc would typically not be called in your synthesis script, it is called as part of
tessent_set_non_modal.
◦ This proc must be called in your STA script if you want to constrain the MemoryBist mode.
• tessent_<design_name>_top_set_dft_signals logic_off
Specify this call if your design also feature logictest-based dft signals that need to be turned off in
membist STA mode.
• tessent_mbist_set_ai_timing_mode
This procedure assures all functional clocks are defined and properly propagated, but leaves
the asynchronous interface free of constraints so they can be formally analyzed by procedure
tessent_mbist_report_controller_ai_timing. This proc is not present or needed if none of the
controllers being constrained utilize an asynchronous interface.
Multi-Clock Memories
Additional timing exceptions were added for synthesis if you have multi-port memories functionally driven
by multiple clocks. A mux is inserted in the memory interface to enable both clock ports of the memory to
be driven using a single clock during the Memory BIST mode. The insertion of this clock multiplexer adds
different timing modes between functional and test modes that must be described correctly in the SDC
file.
Note:
If you want to skip the memoryBIST constraints of clock muxing (creating the generated clock and
false paths) for multi-clock memories, set the tessent_apply_mbist_mux_constraints variable to
"0" in the tessent_set_default_variable proc. This variable should be set to "0" in two cases:
• For synthesis: When the BIST clock used by the MemoryBIST tests is declared as false path
with the memory’s functional clock in the user’s SDC script.
• For STA: When verifying the timing of the scan modes.
In the following, we explain key points of the MemoryBist constraints to handle these modes
harmoniously. Suppose the situation where CLKA and CLKB are two of your functional clocks that drive
two clock ports of a single memory. The MemoryBIST logic is inserted and runs on CLKA. CLKA is
multiplexed onto the CLKB branch of the memory during tests.
First, we need to create a generated clock on the input of the clock mux. In our example, this clock is
called mbist1_m0_mux0.
create_generated_clock [tessent_get_pins
$tessent_memory_bist_mapping(mbist1_m3)/tessent_persistent_cell_MUX1/b] \
-name mbist1_m0_mux0 \
-source [get_ports CLKA] \
-add -master_clock $tessent_clock_mapping(CLKA) \
-divide_by 1
Creating this clock at the input of the clock mux (instead of the output) enables all input clocks to
propagate through the mux and times the following interactions precisely:
• Timing paths between the memory BIST logic and the memory
By making these timing exceptions, we are precisely timing the memory BIST interaction with the memory
using CLKA reaching the two-clock ports of the memory and the functional logic to memory interaction
using the CLKB reaching the memory clock port. The added logic is precisely timed to simplify timing
closure. These constraints make physical design tools aware of the mux on the clock port of the memory
and they place the mux in a location where it does not affect timing.
BoundaryScan Instrument
Tessent BoundaryScan provides the following proc:
• tessent_set_jtag_bscan_non_modal
◦ This proc would typically not be called directly in your synthesis script, it is called as part of
tessent_set_non_modal.
It creates generated clocks from each BoundaryScan interface and relaxes the timing between them.
• Physical blocks have been DFT-inserted with Siemens EDA IP, using add_dft_signals commands,
along with the DftSpecification flow.
• For "xxx_ltest_xxx" procs, lower-level physical blocks are assumed to have the following:
◦ An external logictest mode, controlled with DFT signals ext_ltest_en and optionally
int_ltest_en.
Note:
This hierarchical STA flow is not the same as running flat logictest STA on a full design netlist
with multiple physical levels. Running flat STA would require an extra layer of complexity for the
needed constraints, especially if you intend to run each level with a different test_clock frequency.
Tessent Shell currently generates no SDC procs to support this type of procedure.
• Scan chain shifting inside the core is directly under the control of the internal SSH of the core
even when running in external test mode. As a result, all scan data transits first through the core
SSN bus pins, which are already timed by this external test mode.
• If your functional data paths across the core are the same as when they are tested in the parent
TDF mode of the core, there are no further logictest-only cross-core timing paths that are not
covered.
If your SSH supports the SSH bypass mode (SSH is turned off and all scan chains are accessed
through other standard EDT channel pins on your core), then you must extract specialized timing models
dedicated to your shift and slow_capture modes. This process is described in the following sections.
• Disables timing through your physical block interface pins, except those active used Siemens
EDA non-logictest DFT, such as the IJTAG pins, the BISR register pins, or the embedded
boundary scan control pins. All Siemens EDA logictest IP related pins are also disabled.
• Blocks TCK from propagating to physical block logic through the eventual Siemens EDA OCC
muxes.
Assuming that your current hierarchical STA flow methodology may require loading a mix of black
boxes from lower physical blocks, extracted timing models, or backannotated netlists, the proc always
checks whether a physical block’s internal pin is actually loaded in memory before attempting to apply a
constraint to it. As a result, the call to the proc never errors out because of unloaded lower physical block
logic.
Disabling timing on all your physical block input’s clock pins purposely kills their non-DFT internal
functional timing paths. Likewise, physical block scan logic does not require any additional SDC
constraints, because of the following:
• The global scan_enable control signal is assumed already off in their parent design, leaving all
scan flops in functional mode.
• All physical block relevant logic (such as controllers, functional scan flops, LogicBist) receives no
clock.
The proc is called along with current level <xxx>_non_modal or Siemens EDA DFT modal constraints
procs to complete timing coverage of both non-modal synthesis and pre/post-layout modal STA steps.
The following is a typical example:
Note:
The <arg> value is required only if your design contains Siemens EDA logictest IP.
tessent_create_functional_clocks
tessent_set_ijtag_non_modal
tessent_set_jtag_bscan_non_modal
tessent_set_memory_bisr_non_modal
tessent_set_memory_bist_modal
tessent_kill_functional_paths
tessent_set_modal_lower_pbs
update_timing
(*) IJTAG constraints are always present in any design. The presence of all other procs are design-
dependent.
tessent_set_ltest_pb_external_mode
The tool creates this proc only for isolated physical blocks with the "ext_ltest_en" DFT signal present. It
forces ext_ltest_en active and int_ltest_en (if present) inactive. Use this call after a previous call to one
of the xxx_ltest_modal_xxx procs, to select the external mode version of your shift, bypass, or capture
modes. The proc merely forces the lower physical block’s ext_ltest_en and int_ltest_en DFT signals to
their external mode requirements. You should always call this proc when extracting your physical block’s
timing model for later use in your parent ltest STA modes, because it prevents ambiguous timing paths in
your extracted model timing arcs.
Current-level <xxx>_ltest_modal* STA procs aim to cover both internal and external mode test paths in
the same STA run, despite that letting ext_ltest_en toggling may introduce a few false paths that could
affect your design timing closure. For most cases, it is a risk worth taking, because merging multiple STA
modes together is highly desirable for minimizing your total number of STA runs.
The following shows an example of this proc:
<ltest_prefix>_lower_pbs_external_mode
The extract_sdc command writes this proc only for designs instantiating lower-level physical blocks
featuring the ext_ltest_en DFT signal. The objective of this proc is to set all lower physical blocks into
external mode when running one of the logictest STA modes at the parent level, which covers logictest
mode timing paths through the boundary pins of these physical blocks. Path coverage includes all logic
between the physical block pins and their wrapper cells, in addition to the wrapper chains shift mode
paths.
Assuming that your current hierarchical STA flow methodology may require loading a mix of black
boxes from lower physical blocks, extracted timing models, or back-annotated netlists, the proc always
checks whether a physical block’s internal pin is actually loaded in memory before attempting to apply a
constraint on it. As a result, the call to the proc never errors out because of unloaded lower physical block
logic.
Such constraints include:
• Preventing logictest slow clock reconvergent timing paths inside the physical block OCC logic.
◦ memory_bypass_en
◦ async_set_reset_static_disable
• Preventing false timing checks within the physical block OCC clock multiplexing logic.
The following shows an example of this proc:
Mapping Procs
Because you can use the Tessent SDC constraints both pre- and post-synthesis, the tool must perform
some mapping to find all pins and cells with the pre-synthesis instance path.
The mapping is accomplished with the following procs:
• tessent_get_ports
• tessent_get_pins
• tessent_get_cells
• tessent_get_flops
• tessent_map_to_verilog
• tessent_remap_vhdl_path_list
The procs tessent_get_ports, tessent_get_pins, and tessent_get_cells are wrapper procs of the original
SDC commands get_ports, get_pins, and get_cells, and they are used throughout the Tessent SDC.
These wrapper procs use the tessent_map_to_verilog and tessent_remap_vhdl_path_list procs as
required. Use these mapping procs (as opposed to get_ports, get_pins, and get_cells) in your functional
SDC if you have a design with unrolled VHDL generate loops, and you have problems applying your
global tessent_custom_mapping_regsub
array set tessent_custom_mapping_regsub {
{\](/| |$)} {\1}
}
This example maps all RTL instance paths from the SDC file to remove any closing bracket preceding a
hierarchy separator (/) or at the end of the path (space for end of list element, $ for end of list). You would
need this mapping expression during your STA run if the synthesis tool was trimming the closing brace of
registers after a change_name. For example, my_reg[0] -> my_reg_0 instead of my_reg_0_ as expected.
• tessent_get_preserve_instances preservation_intent
• tessent_get_optimize_instances
• tessent_get_size_only_instances
tessent_get_preserve_instances preservation_intent returns a collection of instances whose boundaries
must be preserved. It must be provided an argument to establish the future use of the netlist and
• add_core_instances
This usage selection returns instances that need to be preserved for applying SDC constraints
for STA to your post-synthesis design, and instances required for the TCD automation flow with
ATPG.
• scan_insertion
This usage selection returns all instances of the previous selection, plus any instance of modules
having existing scan segments described in a TCD scan file and non-scan instances (ICL
attribute keep_active_during_scan_test=true). This selection is required if you intend to do scan
insertion on your design with Tessent Shell or a third-party tool.
• icl_extract
This usage selection returns all instances of the two previous selections, plus any instance
of modules providing an ICL definition. This context is needed if you intend to go through the
DftSpecification flow again, this time with your gate level netlist. ICL extraction requires that all
ICL instances be present and traceable in the design.
If persistent cells added by Tessent Shell are RTL constructs (RTL cells or wrappers from the cell library),
they are returned by tessent_get_preserve_instances. If they are library leaf cells, they are not returned
by tessent_get_preserve_instances. Use the tessent_get_size_only_instances proc to obtain these (refer
to the following).
The tessent_get_optimize_instances proc returns a collection of child instances within Tessent
instruments whose boundaries can be optimized. This should be used when your synthesis tools
propagates boundary optimization attributes downward hierarchically.
The tessent_get_size_only_instances proc returns a collection of instances of all persistent cells,
although the collection may be empty under certain conditions. These cells are required to be intact to
apply SDC constraints for layout and STA. However, the synthesis tool can change the size of the driving
stage as needed.
Tip
Refer to “Solutions for Genus Synthesis Issues” on page 1005 if you experience issues
®
synthesizing mixed Verilog/VHDL designs with the Cadence Genus™ Synthesis Solution.
• You can use Tcl variables interchangeably with legacy Tessent tool variables and environment
variables.
• You should use Tcl syntax for setting and referencing variables, including using
$env(<ENVARNAME>) for accessing environment variables.
For example, if the value of environment variable "ham" equals "mode1" ($ham = mode1), you
can compare this value to a Tcl variable value using the following syntax:
Note:
Use Tcl namespaces to avoid creating procedures that conflict with existing tool or Tcl
commands.
• When processing comments within a dofile, the tool does not write comments preceded with "//"
characters to the transcript. However, the tool does write comments that are preceded with a
pound sign (#) (the Tcl comment delimiter) to the transcript.
• A variable can contain a command string or be empty; attempting to run by referencing $variable
results in an error if $variable is empty.
• When a tool command error occurs, the command interpreter prints the error message and does
not return anything.
• You can use the catch_output command to issue a specified tool command line and prevent
command errors from aborting an enclosing dofile or Tcl proc. The catch_output command can
optionally capture the output of a command or the returned result.
• When a command error occurs nested inside a Tcl construct or Tcl proc, you can obtain additional
information about the error by issuing the following command:
> set errorInfo
This command prints the value of the $errorInfo Tcl variable, which may contain a traceback of
the nested Tcl calls so that you can determine the root cause of the error.
Difference Between the Dofile Command and the Tcl Source Command
You normally use the tool’s dofile command to run a file of tool commands. The Tcl source command also
runs a file of commands, but you should only use it to load Tcl procs, set Tcl variables, or do other strictly
Tcl commands.
You should use the dofile command to run a command file containing tool commands for the following
reasons:
• The dofile command transcripts the commands to the shell and logfile. The Tcl "source" command
always stops running if any command in the file encounters an error.
Example 1
In this example, the add_scan_chains command defines every scan chain in the design. This command is
sometimes used hundreds of times and makes the dofile very long. Using Tcl, you can shorten the dofile
substantially by using a loop construct as shown here:
For the values of xx from 1 up to 256, the tool runs a separate add_scan_chains command for each
value. The transcript and logfile contain all 256 add_scan_chains commands (preceded with "//
subcommand: ").
Example 2
The following example controls the flow of creating test patterns and uses a variable to run different
commands based on the variable’s value:
if {$mode == stuck} {
set_fault_type stuck
…
} elseif {$mode == transition} {
Example 3
Module hierarchies may become ungrouped through either synthesis or layout and ATPG may operate
on a flattened view of the design. Automated DRCs find and trace the EDT IP and subsequently find and
trace the flattened scan chains automatically. In the following example, we are capturing the output of the
report_scan_chains command and placing it into the variable rsc by using the catch_output command.
The foreach loop iterates on the report_scan_chains output, capturing the chain name, group name
and input and output connections in the variables chain, group, input, and output, respectively. This
information is written into the file add_chains.txt.
foreach a $rsc1 {
puts "NEWLINE =\t$a"
regexp {.*chain = (.*)\s+group = (.*)\s+input = \'(.*)\'\s+output = \
'(.*)\'\s+.*} $a match chain group input output
puts $out "add_scan_chains -internal $chain $group \{$input\} \{$output\
}"
}
close $out
Stopping dofile runs at a specific Use the native Tcl "error" command to stop the run of a dofile
point at any point. The "error" command requires a message string,
which the tool outputs. But to avoid any message you can use an
empty string:
error ""
Escaping Tcl special characters Use the following techniques to escape Tcl special characters:
• Quotation marks ("") group tokens but enable $variable and
[command] evaluations.
• Braces ({}) also group tokens and disable $variable and
[command] evaluations.
• Brackets ([]) implement command substitution and are used to
nest or embed commands.
• A backslash (\) escapes the next character. Use this to tame a
Tcl special character such as $, [, {, or ".
Using dollar signs in pathnames A dollar sign ($) specifies variable substitution. In some netlists,
pathnames (for example, ham/pin$p7) can contain the dollar
sign. When using pathnames with dollar signs, enclose the
pathname with braces ({ }) to prevent the tool from substituting
the value as shown in the following example:
report_gates {ham/pin$p7}
Escaping quotation marks In Tcl, quotation marks ("") instruct the Tcl interpreter to treat the
enclosed words as a single argument. For example:
puts " Hello World "
Optional single quotation marks Optional single quotation marks (' ') are no longer valid for
Tessent commands. For example, the following produces an
error:
set_design_sources '-v MODB.v -v MODC.v'
Environment variables You should use Tcl syntax for setting and referencing variables,
including using $env(ENVARNAME) for accessing environment
variables. For example, if the value of environment variable
"ham" equals "mode1" ($ham = mode1), you can compare this
value to a Tcl variable value using the following syntax:
Character Description
; The semicolon terminates the previous command, enabling you to place more than one
command on the same line.
\ Used at the end of a line, the backslash continues a command on the following line.
\$ The backslash with other special characters, like a dollar sign, instructs the Tcl
interpreter to treat the character literally.
\n The backslash with the letter "n" instructs the Tcl interpreter to create a new line.
$ The dollar sign in front of a variable name instructs the Tcl interpreter to access the value
stored in the variable.
[] Square brackets group a command and its arguments, instructing the Tcl interpreter
to treat everything within the brackets as a single syntactical object. You use square
brackets to write nested commands. For example:
set chain_report [report_scan_chains -subchains -verbose]
{} Braces instruct the Tcl interpreter to treat the enclosed words as a single string. The
Tcl interpreter accepts the string as is, without performing any variable substitution or
evaluation. For example, to create a string that contains special characters such as $ or
\:
Character Description
"" Quotation marks instruct the Tcl interpreter to treat the enclosed words as a single string.
However, when the Tcl interpreter encounters variables or commands within string in
quotation marks, it evaluates the variables and commands to generate a string. For
example, to create a string that displays a final cost calculated by adding two numbers:
set my_string "This book costs \$[expr $price + $tax]"
# The pound sign (#) indicates a comment and directs the Tcl compiler to not evaluate the
rest of the line. When using the pound sign, you must use it where a command starts,
and at the beginning of a command, not within a command. Be aware of the following:
• Evaluate does not equal parse. Despite the pound sign, the following comment gives
an error because Tcl detects an open lexical clause.
# if (some condition) {
if { new text condition } {
…
}
# This is a comment
In the following code snippet, the line beginning with "# -type" is not a comment,
because the line immediately preceding it has a line continuation character (\). In fact,
the Tcl interpreter does not interpret the "#" character as you might expect, resulting in
an error when it attempts to create the tk_messageBox.
In general, it is good practice to begin all comment lines with a #. Be aware that the
beginning of a command is not always at the beginning of a line. Usually, you begin new
commands at the beginning of a line. That is, the first non-space character is the first
character of the command name. However, you can combine multiple commands into
one line using the semicolon ";" to designate the end of the previous command:
• Default location for Tessent Shell Tcl packages. You can place your package underneath a
directory named tessent_plugin/packages that is located at the top of your Tessent install tree.
When Tessent Shell finds a package in this directory upon invocation, it appends the directory
path to tessent_plugin/packages to the auto_path Tcl global variable, if it exists.
• auto_path Tcl global variable. You can issue the following command in Tessent Shell to specify a
package location:
• TCLLIBPATH environment variable. You can set this variable to a space-separated list of
directory paths that contain Tcl packages. A packages subdirectory is not required under any of
the paths.
Note:
Tessent Shell ignores the TCL_LIBRARY environment variable.
Tcl Resources
The following website is a place to start in your search for the reference material that works best for you.
It is not an endorsement of any book or website.
https://www.tcl-lang.org
This problem does not appear to be present with the basic DC compile command; it seems to only be an
issue when using the compile_ultra command in certain tool versions.
• Cells
• Design Modules
• No boundary optimization.
• No ungrouping.
• No new ports.
• No logic optimization.
The logic test module types that require this include EDT, LBIST, OCC, STI SIB, Bscan interface, and any
module with tcd_scan (pre-existing scan chain). ICL extraction uses modules and ports of ICL modules
so they also need to be preserved. You can avoid preserving the IJTAG instance boundaries, which
enables the synthesis tool to obtain a better optimization result. You can use the ICL file from RTL in post
synthesis and place and route.
The boundary optimization option during synthesis is global in the sense that it applies to every design
hierarchy on and below the specified module or instance. Hence, if you want to globally optimize your
design, you specify it on the root (top) module and then boundary optimization runs through the entire
design doing its work (assuming that there are no "don’t touch" cores, which were already synthesized
earlier). The problem is that valid constrained or not (yet) connected ports or nets get optimized away. To
prevent this, tell the DC tool not to apply this boundary optimization to selected modules or instances.
DC has two compilation modes:
◦ Problems are avoided because the tool does not ungroup and optimize boundaries.
◦ The synthesis tool does its best to remove any dead logic (either because of constraints
propagation or missing loads or unused).
◦ DC propagates constraints even if boundary optimization is set false for design instances.
As this issue is becoming more prevalent, there is an informational message from DC Ultra when using
the compile_ultra command that reports the following:
If you receive this message, you may not fully understand the ramifications or how to correctly synthesize
the Tessent IP in this environment. If not properly handled, you may observe additional information
messages from the tool similar to the following:
Synthesis Guidelines
When synthesizing a design for Tessent DFT, certain considerations must be taken into account to avoid
issues later in the flow.
When using Cadence Genus, set the attribute hdl_flatten_complex_port to "true" for any designs that
include complex ports declared using unions to facilitate post-synthesis port name matching.
When using any of the Synopsys Design Compiler family of synthesis tools, declare the ranges of any
ports declared as SystemVerilog interface arrays be declared as follows to facilitate post-synthesis port-
name matching:
When using DC Ultra, there are two key commands to be aware of to synthesize correctly with the
Tessent IP.
• Turn off constant propagation with no boundary optimization by setting an application variable.
This variable works globally and is used with the following syntax:
• For instance level control, use the following compile directive before using the compile_ultra
command to turn off the constant propagation for cells:
Other means of restricting the synthesis tool from changing and optimizing instances and levels of
hierarchy include the set_size_only command and ungrouping. When set_size_only is used for a
specified list of leaf cells, the tool can only change their drive strength, or sizing. The ungroup, group,
set_ungroup, and uniquify commands can be used to control how the tool removes (or not) levels of
Note:
During the synthesis of MemoryBIST logic, there are two types of warnings that may be issued by
synthesis tools that are related to the optimization of registers. These warnings may be ignored
as synthesis tools are very reliable. Additionally, formal verification can be used to confirm the
functionality is not affected. The warning types are:
• Registers with no fanout are removed, along with the combinational logic driving the inputs.
• Registers with inputs and outputs that are always identical are merged.
Different guidelines apply to the type of flow you are using, whether a bottom-up synthesis flow, or a top-
down approach.
3. Set don’t touch or set size only to all the DFT IP.
8. Compile ultra.
<interface_type><port_name>[0:<N>]
<N> is a positive integer that represents the size of the array minus 1. You can use this method to
properly map the port names in a netlist generated by any of the Design Compiler family of tools.
Note:
To use the Tcl procedures, you must run the process_parameterized_design_specification
command before the RTL DFT insertion steps for the blocks in your design.
After the RTL DFT insertion steps for a block, run the write_design_import_script
‑include_child_physical_block_interface_modules command. This command generates the
prerequisite files for the Tcl procedures that help you manage synthesis. Refer to “How to Handle
Parameterized Blocks During DFT Insertion” on page 680 for more information about creating and
using parameterization wrappers.
The set of Tcl procedures in “Tcl Procedures for Synthesis in the Parameterization Wrapper Flow” on
page 995 and the example script in this section are designed for interoperability with the Synopsys
®
Design Compiler tool (dc_shell). If you are using another synthesis tool, we recommend adapting these
procedures for use with that tool.
You can use these procedures for synthesis of all parameterized blocks, including the following situations:
• The block is parameterized and is instantiated with parameter overrides. The block does not
instantiate parameterized child blocks with parameter overrides.
• The block is parameterized and is instantiated with parameter overrides. The block does
instantiate parameterized child blocks with parameter overrides.
• The block is not parameterized or is not instantiated with parameter overrides; however, the block
instantiates one or more parameterized child blocks with parameter overrides.
Use or adapt the following example script. Invoke the script from the same directory where the
write_design_import_script command wrote the loading scripts for the RTL design files for the block.
Note:
The synthesize_block procedure is the top-level proc to call from your synthesis script. The
flow_procs.tcl file has usage instructions at the top.
Find these Tcl files in your installed release tree under the share directory:
set parameterization_wrapper_info_dictionary {
<design_name> {
parameterized_view_<i> {
// <i> is an integer starting at 1. Omitted if only one view.
post_synthesis_uniquified_block_name <post_synthesis_name>
parameterization_wrapper_file_name \
set consolidated_child_blocks_info_dictionary {
<child_block_design_name> {
parameterized_view_<i> {
// <i> is an integer starting at 1. Omitted if only one view.
post_synthesis_uniquified_block_name <post_synthesis_name>
parameterization_wrapper_file_name \
<post_synthesis_name>.<lang>_parameterization_wrapper
block_instance_list_in_parent <list of instances which \
instantiate above view>
}
… // Additional views
}
… // Additional child blocks
}
During intest mode for the wrapped cores, the OCCs inside the cores are active and the OCCs outside
the cores are inactive. During extest, the OCCs outside the cores are active and the OCCs inside the
cores are inactive.
Figure 304. OCCs With Clock Generators at Chip Level, Asynchronous Clocks
Figure 305. OCCs With Clock Generators Inside the Cores, Asynchronous Clocks
During intest mode for the wrapped cores, the OCCs inside the cores are active, and the OCCs outside
the cores are inactive. During extest, the OCCs outside the cores are active, and the OCCs inside the
cores are inactive.
Figure 306. Clock Sourced From a Core With Embedded PLL, With MUX
When you are retargeting coreA and coreB at the same time, you must add a mux. Use a clock net before
reaching the OCC inside coreB as a clock to feed the OCC inside coreA to avoid having back-to-back
OCCs. To retarget both coreA and coreB at the same time, the OCCs inside coreA and coreB must be
active at the same time.
Insert standard OCCs inside the cores. The standard OCC inside the core with the embedded PLL
(coreB) gets promoted so that it is also included in the external chains. When in external mode, the OCC
inside coreB can be reused. For details, refer to “How to Handle Clocks Sourced by Embedded PLLs
During Logic Test” on page 704.
During intest, the standard OCCs inside coreA and coreB are active. The mux is set to 1 to avoid
cascading OCCs. During extest, only the promoted standard OCC within coreB is active, and the mux is
held at 0.
In the following example, you are retargeting coreA and coreB in separate runs, so there is no need to
insert a mux. During intest of coreA, only coreA’s OCC is active, and then during intest of coreB, only
coreB’s OCC is active. During extest of the cores, only the promoted standard OCC within coreB is active.
Figure 307. Clock Sourced From a Core With Embedded PLL, Without MUX
Core-level child OCCs have additional timing requirements because a single clock on the boundary
of the wrapped core is used for shift as well as for slow and fast capture. For details, refer to
"Recommendations" in the Tessent Scan and ATPG User’s Manual. Using SDC for timing closure is
described in “Timing Constraints (SDC)” on page 935.
During intest of coreA and coreB, the child OCCs are active, and the parent OCCs are in parent mode.
During extest of coreA and coreB, the parent OCCs are in standard mode and the child OCCs are
inactive.
• Set the circuit to functional mode to hold the IJTAG network or TAP in reset.
• If you are at the chip level, set a constant 0 on TRST. If you are at the sub_block or
physical_block level, set a constant on the ijtag_reset ports to their active value. This is typically
0.
• If your design has DftSignals coming from ports in both the chip and design levels, these ports
must be held at their reset values. The reset automatically handles DftSignals from the TDR.
• If you are at the sub_block or physical_block level, suppress the verification of ports created by
Tessent Shell to prevent reporting violations.
• Assert the ijtag_reset pins to their active values on all instruments and SIBs inserted by Tessent
tools.
• Because the ICL network may already be present, the SIBs could result in mismatches on the ICL
network scan path. Set the SIB scan in/outs as "don’t verify" points.
• Use the "Consistency" mode for the verification passing mode rather than the "Equality" mode by
setting the following:
• If you want to use the "Equality" mode for verification, add the following setting to deal with clock
gating logic inserted by Tessent:
GPIO_2/\p2out_reg[1] ( IN SI ) ( OUT Q )
+ STOP GPIO_2/ts_1_lockup_latchn_clkc6_intno266_i D\
When this occurs, and you perform formal verification on the pre- versus post-layout, the tool returns
warnings that these lockup latches are no longer connected to the same functional flop as previously.
This is not an issue with the other scan flops because you can disable the scan path by setting the scan
enable to 0. However, the lockup latch is a flop/latch without scan-in.
If you encounter this situation, use the following workaround: Specify set_dont_verify_point for the lockup
latches in both the reference and implemented designs to determine if formal verification passes. To find
the lockup latches, introspect the design in Tessent Shell using the following:
get_instances ts_1_lockup*
• Problem: There is a mismatch between the port list of the VHDL component definition for a
memory and the port list of an auto-created blackbox for the memory. — Solution:
◦ In the Genus synthesis script for synthesizing a design that instantiates one or more
memories, do the following:
• Load the file containing the memory module definition with the internals surrounded by
pragmas, as mentioned in the previous solution. This avoids creating a blackbox with a
mismatch between the port list in the VHDL component definition of the memory and the
port list of the blackbox.
• Immediately after elaborating the design, add the following lines to prevent the memory
definition from being written to the netlist:
• Problem: A blackbox for a memory is written to the netlist. — In the Genus synthesis script
for synthesizing a design that instantiates one or more memories, do the following:
◦ Immediately after elaborating the design, add the following lines to prevent the memory
definition from being written to the netlist:
• Problem: Uniquification of logic abstracts for a memory. — These are simply unresolved
references. Only one such logic abstract should be created. Set the following root attribute before
loading/elaborating the design to prevent uniquification of logic abstracts: