Jump to content

Zen (first generation): Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
Scatter across many sockets? You must be thinking about intel? Currently AMD only supports :AM2 /AM2+ are physically the same with AM3 supporting DDR3, FM1/2 is for the aPU. I don't see any value in the comment ,stands out like sore
Zeitlupe (talk | contribs)
Performance: replaced misleading term "single-threaded performance"
Line 27: Line 27:


=== Performance ===
=== Performance ===
One of Zen's major goals was to focus on performance per-core, and it is rumored to have 40% higher [[instructions per clock]] over its predecessor, Excavator, which in comparison offered 4-15% improvement over previous architecture.<ref>http://www.anandtech.com/show/9319/amd-launches-carrizo-the-laptop-leap-of-efficiency-and-architecture-updates/4</ref> Zen will also support simultaneous multi-threading, a feature previously offered in some [[Intel]] processors in the form of [[HyperThreading]].<ref>http://techfrag.com/2015/05/08/amd-zen-confirmed-for-2016-features-40-ipc-improvement-over-excavator/</ref> Previous Bulldozer-derived chips from AMD had single blocks of resources that had to be shared between cores, resulting in lower single-threaded performance.<ref>http://news.softpedia.com/news/amd-zen-will-feature-double-data-crunching-ipc-and-floating-point-units-per-core-than-existing-designs-493687.shtml</ref>
One of Zen's major goals was to focus on performance per-core, and it is rumored to have 40% higher [[instructions per clock]] over its predecessor, Excavator, which in comparison offered 4-15% improvement over previous architecture.<ref>http://www.anandtech.com/show/9319/amd-launches-carrizo-the-laptop-leap-of-efficiency-and-architecture-updates/4</ref> Zen will also support simultaneous multi-threading, a feature previously offered in some [[Intel]] processors in the form of [[HyperThreading]].<ref>http://techfrag.com/2015/05/08/amd-zen-confirmed-for-2016-features-40-ipc-improvement-over-excavator/</ref> Previous Bulldozer-derived chips from AMD had single blocks of resources that had to be shared between cores, resulting in lower performance per core in multi-threaded applications.<ref>http://news.softpedia.com/news/amd-zen-will-feature-double-data-crunching-ipc-and-floating-point-units-per-core-than-existing-designs-493687.shtml</ref>


=== Memory ===
=== Memory ===

Revision as of 13:58, 26 February 2016

Zen
File:AMD Zen premeir slide.jpg
Zen's introductory slide, showing major changes
General information
Launched20162015
Designed byAMD
Common manufacturers
Architecture and classification
ApplicationDesktop, Laptop, Server
Instruction setAMD64 (x86-64)
Physical specifications
Transistors
Cores
    • 2 (essential)
    • 2-4 (mainstream)
    • 8-16 (enthusiast)[4][5]
    • 32 (server)[6][7]
Socket
History
PredecessorExcavator
SuccessorZen+[9]

Zen is the codename for an upcoming computer processor microarchitecture from AMD,[10] expected for release in October 2016.[11] Zen will be the successor to AMD's previous 28nm Excavator processor architecture. Zen will be present in both APUs and high-end CPUs from AMD starting in late 2016, and will be compatible with the upcoming AM4 socket.[12]. With the creation of Zen, the differences and issues present with previous sockets was removed due to Zen being more SoC-like in nature, making it possible to integrate a wide array of components and controllers that would previously differentiate sockets into the processors themselves, removing the need for different types of motherboards and sockets to support them.[13]

Advantages over predecessors

Zen's from-scratch design is notably different from its predecessors, with many different types of changes and enhancements being made across the board in hopes of making Zen more competitive with Intel's architectures, and the software most often built with Intel's processor features in mind.[14] Processors built using Zen will utilize low-power 14 nm FinFET silicon. Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their Kaveri APUs.[15][16]

Performance

One of Zen's major goals was to focus on performance per-core, and it is rumored to have 40% higher instructions per clock over its predecessor, Excavator, which in comparison offered 4-15% improvement over previous architecture.[17] Zen will also support simultaneous multi-threading, a feature previously offered in some Intel processors in the form of HyperThreading.[18] Previous Bulldozer-derived chips from AMD had single blocks of resources that had to be shared between cores, resulting in lower performance per core in multi-threaded applications.[19]

Memory

APUs utilizing the Zen architecture will also support High Bandwidth Memory (HBM).[20] Previous APUs from AMD had to rely on traditional shared DDR3 RAM for video memory.

Zen supports DDR4 memory (up 8 channels).[21] Previous CPUs and APUs from AMD only supported up to DDR3.

Power consumption

All processors using the Zen architecture will be built at the 14 nm node on FinFET silicon, potentially reducing power consumption and heat over their 28 nm and 32 nm non-FinFET predecessors.

Heat output

Also a byproduct of lower power usage and leakage, processors built on the 14 nm node with the Zen architecture are expected to produce less heat than their predecessors.

Socket compatibility

Due to Zen integrating previously external motherboard components in an SoC-like nature, Zen's AM4 socket will unify the future variants of older AM1, FM2+, and AM3+ sockets.

Cooling

Although the cooling solution is not a component of the architecture itself, AMD announced that their quieter and more powerful "wraith" cooler would be included with Zen chips.[22]

Architecture summary

According to AMD, Zen's main focus will be on increasing per-core performance rather than core count or multi-threading performance.[23][24][25] Reports from AMD indicate that Zen will abandon modular CMT (Clustered Multithread) for a SMT (Simultaneous Multithreading) microarchitecture,[3][26] which is the same model that Intel uses. Adapting their chips from the less-popular CMT to SMT[8] is expected to offer much higher number threading efficiency, but also possibly increase the die size of a Zen module.[25]

This is the first time in a very long time that we engineers have been given the total freedom to build a processor from scratch and do the best we can do. It is a multi-year project with a really large team. It's like a marathon effort with some sprints in the middle. The team is working very hard, but they can see the finish line. I guarantee that it will deliver a huge improvement in performance and (low) power consumption over the previous generation.

— Suzanne Plummer, Zen team leader, on September 19th, 2015.[27]

Reportedly, the Zen architecture will be built on a more efficient 14 nanometer process, rather than the 32 nm and 28 nm processes of previous AMD FX CPUs and AMD APUs, respectively.[28] The "Summit Ridge" Zen family will also feature a unified AM4 socket with its GPU-equipped "Bristol Ridge" APU counterparts,[29] and feature DDR4 support and a 95W TDP.[28] Zen does not support DDR3;[citation needed] only 7th generation AMD APUs (also fitting the AMD AM4 unified socket) support DDR3 and DDR4. While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15W and 15 to 35W for performance-oriented mobile products with up to four Zen cores.[30]

Zen will support the hardware random number generator, RDSEED.[31][further explanation needed]

Each Zen core will have four integer units, two address generation units and four floating point units, and the decoder can decode four instructions per clock cycle. L1 cache size is 32 KiB and L2 cache size 512 KiB per core. Two of the floating point units are adders, two are multipliers.[32][unreliable source]

Products

File:AMD 2015-2016 Desktop Roadmap.png
Zen-based products are expected to fill AMD's entire CPU lineup in 2016

Official names for products utilizing the Zen architecture have not yet been officially released, as of January 2016. Zen is expected to be utilized in future FX CPUs, future Opteron CPUs, and future APUs.[20][33]

Zen will also be utilized for future Opteron server processors.[6][34]

History

AMD began planning the Zen microarchitecture shortly after re-hiring Jim Keller in early 2012.[35]

The team in charge of the Zen microarchitecture was led by Keller until he left in September 2015 after a 3-year tenure.[36]

Zen was originally planned for 2017 following the ARM64-based K12 sister core, but on AMD's 2015 Financial Analyst Day it was revealed that K12 was delayed in favour of the Zen design, to allow it to enter the market within the 2016 timeframe,[8] with the release of first Zen-based processors expected for October 2016.[11]

In November 2015 a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".[1][37]

In December 2015, it was rumored that Samsung may be contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and their upcoming Polaris GPU architecture.[38]

See also

References

  1. ^ a b http://www.extremetech.com/computing/217664-globalfoundries-announces-14nm-validation-with-amd-silicon
  2. ^ http://www.maximumpc.com/samsung-may-be-producing-cpus-gpus-for-amd/
  3. ^ a b Moammer, Khalid (September 9, 2014). "AMD's Next Gen x86 High Performance Core is Zen". WCCF Tech. Retrieved January 15, 2015.
  4. ^ http://hexus.net/tech/news/cpu/82372-details-amd-zen-16-core-x86-apu-emerge/
  5. ^ http://www.techpowerup.com/212161/amd-zen-based-8-core-desktop-cpu-arrives-in-2016-on-socket-fm3.html
  6. ^ a b http://fudzilla.com/news/processors/37564-the-next-generation-opteron-has-32-zen-x86-cores
  7. ^ http://hothardware.com/news/cern-engineer-leaks-amd-zen-architecture-details-claiming-40-percent-increase-in-ipc-up-to-32-cores
  8. ^ a b c Ryan Smith (6 May 2015). "AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out". AnandTech.
  9. ^ http://www.pcgamer.com/amds-next-gen-zen-cpu-due-in-2016/
  10. ^ "AMD hints at high-performance Zen x86 architecture". bit-tech. 11 September 2014. Retrieved 15 December 2014.
  11. ^ a b "AMD set to release first 'Zen'-based microprocessors in late 2016 – document". KitGuru.net. 12 June 2015. Retrieved 30 August 2015.
  12. ^ http://www.pcworld.com/article/3020184/computers/amd-zen-based-cpus-and-apus-will-unify-around-socket-am4.html
  13. ^ http://www.legitreviews.com/amd-zen-based-cpus-apus-will-unified-socket-am4_177457
  14. ^ http://www.extremetech.com/extreme/198386-amds-next-gen-cpu-leak-14nm-simultaneous-multithreading-and-ddr4-support
  15. ^ http://www.kitguru.net/components/graphic-cards/anton-shilov/amd-we-have-taped-out-our-first-finfet-products/
  16. ^ http://www.theinquirer.net/inquirer/news/2321439/ces-amd-finally-unveils-28nm-apu-kaveri-to-battle-intel-haswell
  17. ^ http://www.anandtech.com/show/9319/amd-launches-carrizo-the-laptop-leap-of-efficiency-and-architecture-updates/4
  18. ^ http://techfrag.com/2015/05/08/amd-zen-confirmed-for-2016-features-40-ipc-improvement-over-excavator/
  19. ^ http://news.softpedia.com/news/amd-zen-will-feature-double-data-crunching-ipc-and-floating-point-units-per-core-than-existing-designs-493687.shtml
  20. ^ a b http://hexus.net/tech/news/cpu/89246-zen-based-apu-hbm-amd-carrizo-successor/
  21. ^ http://www.techspot.com/news/63796-amd-zen-cpu-up-32-cores.html
  22. ^ http://www.pcper.com/news/Cases-and-Cooling/CES-2016-AMD-Announces-Wraith-Cooler
  23. ^ "Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot". techspot.com. Retrieved 2015-05-12.
  24. ^ "AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1". techreport.com. Retrieved 2015-05-12.
  25. ^ a b Anton Shilov (11 September 2014). "AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be". KitGuru. Retrieved 1 February 2015.
  26. ^ "AMD 2015 comeback attempt: reforming high-performance processor, abandon modular architecture". Extreme Performance Review. Retrieved 10 May 2015.
  27. ^ http://www.mystatesman.com/news/business/amid-challenges-chipmnaker-amd-sees-a-way-forward/nngdf/
  28. ^ a b "14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading". Softpedia. 28 January 2015. Retrieved 31 January 2015.
  29. ^ "AMD Reveals Single Socket For Zen CPU, APU". tomshardware.com. Retrieved 8 January 2016.
  30. ^ "AMD's next gen CPU Zen". Shattered.Media. May 2015.
  31. ^ "AMD Starts Linux Enablement On Next-Gen "Zen" Architecture". Phoronix. 17 March 2015. Retrieved 17 March 2015.
  32. ^ "AMD's Zen core (family 17h) to have ten pipelines per core".
  33. ^ http://www.techtimes.com/articles/111041/20151127/amd-zen-fx-cpus-apus-release-details-surface-top-notch-performance-in-the-cards.htm
  34. ^ http://www.kitguru.net/components/cpu/anton-shilov/32-core-amd-opteron-to-feature-quad-die-mcm-design/
  35. ^ Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core. YouTube. 7 May 2014.
  36. ^ "Jim Keller Leaves AMD". anandtech.com. Retrieved 2015-10-14.
  37. ^ http://www.overclock3d.net/articles/cpu_mainboard/amd_tests_zen_cpus_met_all_expectation_with_no_significant_bottlenecks_found/1
  38. ^ https://www.techpowerup.com/218578/samsung-to-fab-amd-zen-and-arctic-islands-on-its-14-nm-finfet-node.html