Calculadora RAM
Calculadora RAM
Calculadora RAM
RESUMEN:
En esta práctica se usan los conocimientos adquiridos de las practicas anteriores. Desde la practica #1 ALU hasta la
práctica #4. Jerarquización, memorias, registros, contadores, etc. Esta práctica es la culminación de todo el curso.
I. INTRODUCCIÓN
VI. RECOMENDACIONES
REFERENCIAS
DE2_115_User_Manual-1076-2008 IEEE
Standard VHDL. Language Reference Manual-
IEEE (2009)
direccion:out if enter='0'
std_logic_vector(3 downto 0); then
ans:in std_logic_vector(13 op1<=ans;
downto 0)
end if;
);
when "11" =>
end estadocalc;
if enter='0'
architecture compu of estadocalc is then
signal valor,op1:std_logic_vector(13
downto 0); calle<=valor(3 downto 0);
signal calle:std_logic_vector(3 end if;
downto 0);
when others=>
begin
process(valor,op1) op1<="ZZZZZZZZZZZZZZ";
begin
calle<="ZZZZ";
if entrada(3)='1' then
end case;
valor<="1111111111"&entrada; end process;
else a<=op1;
direccion<=calle;
valor<="0000000000"&entrada;
end architecture;
end if;
Código de la memoria RAM.
case selector is
library IEEE;
when "00"=>
use IEEE.std_logic_1164.all;
if enter='0' then
use IEEE.std_logic_unsigned.all;
op1<=valor;
entity RAM_16x12 is
end if;
port
when "01"=>
(
if enter='0'
WR : in std_logic; -- Enable
then
ADDR : in std_logic_vector (3 downto 0); --
Direcciones de la RAM
calle<=valor(3 downto 0);
DIN : in std_logic_vector (13 downto 0); --
end if;
Dato a escribir
when "10"=>
Sistemas digitales 2, Practica #5, 12/Junio/2018
d1 <= "1000000";
d2 <= "1000000";
d3 <= "1000000";
end if;
end process;
end behavioral;