Actividad 3 Solucion
Actividad 3 Solucion
Actividad 3 Solucion
DOCENTE:
JOSE LUIS CABRA LOPEZ
ALUMNO:
ANDRES SAENZ MOLINA
4.) Favor comentar el código explicando la funcionalidad que se estaría realizando en cada
paso
SOLUCION
Codigo Sumadoradder:
Lo que debemos hacer ps es generar el nuevo fulladder en VHDL, iniciamos definiendo los
puestos tanto en las entrdas como las salidas, luego definimos las variables y por ultimo
definimos el bloque Cout Sumadoradder como se evidencia a continuacion:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Sumadoradder is
Port (
A: in std_logic;
B: in std_logic;
Cin: in std_logic;
Sun: out std_logic;
Cout: out std_logic
);
end Sumadoradder;
begin
Codigo fulladder:
Luego creamos un nuevo archivo fuentes llamdao el fulladder, creando un bloque para la
union de los cables, definimos el entity según los puertos de 4 bits, luego definimos la
arquitectura con señales definidas como x0,x1,x2,x3, ya que es la parte fundamental para
realizar las uniones en nuestro proyecto, se declara la estructura bajo los componentes
x0,x1,x2,x3 de std_logic con su respectivo mapeo port map para la conexión, como se
evidencia a continuacion.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity fulladder is
Port (
FA: in std_logic_vector(3 downto 0);
FB: in std_logic_vector(3 downto 0);
FCin: in std_logic;
FSun: out std_logic_vector(3 downto 0);
FCout: out std_logic_vector(3 downto 0)
);
end fulladder;
end component;
begin
B0: Sumadoradder port map(
A => FA(0),
B => FB(0),
Cin => '0',
Cout => x0,
Sun => FSun(0)
);
end Behavioral;
Diseño de visualizacion
En nuestro diseño o bloque vemos que se evidencia nuestro proyecto y vemos que estan las
entradas y salidas tanto para A-B-Cin-Sun-Cout.
Código de texteo Sumadorrestador:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use work.fulladder;
entity sumadorrestador is
end sumadorrestador;
begin
-- Connection stage
uut: entity sumadorrestador generic map (N => 3)
port map (FA_i => FA_T,
FB_i => FB_T,
FCin_i => FCin_T,
FSun_0 => FSun_T,
FCout_0 => FCout_T);
-- simulaction process
process begin
report "Verificando sumador/restador, enteros de 4 bits"
severity note;
end Behavioral;