Performance of The Full Field EUV Systems PDF
Performance of The Full Field EUV Systems PDF
Performance of The Full Field EUV Systems PDF
net/publication/260818677
Article in Proceedings of SPIE - The International Society for Optical Engineering · April 2008
DOI: 10.1117/12.773259
CITATIONS READS
26 250
23 authors, including:
Some of the authors of this publication are also working on these related projects:
All content following this page was uploaded by K. Ronse on 03 February 2015.
ABSTRACT
The ASML extreme ultraviolet lithography (EUV) alpha demo tool is a 0.25NA fully functional lithography tool with a
field size of 26×33 mm2, enabling process development for sub-40-nm technology. Two exposure tools are installed at
customer facilities, and are equipped with a Sn discharge source. In this paper we present data measured at intermediate
focus of the Sn source-collector module. We also present performance data from both exposure tools, show the latest
results of resist exposures including excellent 32-nm half pitch dense staggered and aligned contact hole images, and
present the highlights of the first demonstration of an electrically functional full field device with one of the layers made
using EUVL in ASML’s alpha demo tool.
Keywords: EUV lithography, system performance, tin sources, resist images, devices, high volume manufacturing.
1. INTRODUCTION
In 2006 ASML shipped two full field EUVL exposure tools to end users. The exposure tools are installed at IMEC in
Leuven, Belgium and at the College of Nanoscale Science and Engineering at the University of Albany (Albany, NY) in
the USA. In order to accelerate the development of EUVL towards introduction for volume production and optimize tool
usage, it was decided to make optimal use of each other’s facilities by coordinating joint exposures. IMEC and Albany
work at present focuses on EUV technology development including flare- and mask shadowing compensation and
preliminary work on electrically active devices. Details of this work can be found elsewhere[1],[2].
2.1. Source
2.1.1. Metrology setup
The Philips EUV source has been qualified in a stand-alone configuration at the supplier. This configuration consists of a
large vacuum vessel to which the source is coupled. The vessel is equipped with several ports with a direct view to the
140 70%
1.6
Figure 1. Total output power from the source (left), and in-band EUV output power from the source and the geometric collection
efficiency (right).
plasma
IF (inter-
mediate
Grazing incidence focus)
Sn coated collector
rotating electrodes
To monitor the temperature of the collector module the collector is equipped with 22 thermocouples on all shells and on
the mechanical structure which holds the shells. Extra thermocouples are mounted on the debris mitigation system and
the temperature of the hottest part of the debris mitigation system is monitored by a pyrometer operating in ratio-mode.
Pressure meters monitor the pressure in the SoCoMo vessel between the collector and the intermediate focus and at a
position near the source pinch.
6 25
shell 7
5 shell 8
24
In-band EUV at IF [W]
Structure
Temperature [oC]
4
23
3
22
2
1 21
0 20
0 50 100 150 200 250 300 350 400 450 500 0 50 100 150 200 250 300 350 400 450 500
Time [min] Time [min]
Figure 3. Left: measured in-band EUV power at IF during 108 shot / 7 hr exposure. Right: temperature monitoring of the collector
during an exposure of 108 shots.
The 108 shots were fired as 500 bursts of 2x105 pulses with 0.5 seconds in between the bursts. The source was operated
at the same conditions as shown in section 2.1.2: 4 kHz and 2.3 J per pulse input energy into the capacitor bank. The
source was running in free running mode, which implies that the input high voltage was kept constant and no energy
control is done. The in-band EUV output from the source was not measured directly on the source, but we assume that it
was comparable to the output power during the 107 shot test, which is ~125 W/2π. Combined with our estimate on
collector transmission and gas absorption losses, we calculate a transmission of the debris mitigation system of 50% to
55%, according to expectation.
During the above experiment the temperature of the grazing incidence collector was monitored. The right-hand side plot
of Figure 3 shows the temperature versus time characteristics. It can be seen that the water cooling of the collector is
sufficient to keep the temperature rise limited to a few degrees Celsius.
To measure the amount of debris passing IF, multilayer mirror samples have been mounted near the intermediate focus
of the stand-alone SoCoMo module. Samples were mounted inside and outside the light path, as can be seen in the
photograph of Figure 4. The amount of debris deposited on the samples after 108 shots was measured by means of XRF
analysis. The measured deposition is then scaled to the surface area of the first illuminator mirror. For this scaling two
transport mechanisms are considered for Sn atoms. First, for the direct ballistic path the flux through the intermediate
focus aperture can simply be scaled towards the area of the first illuminator mirror. The second mechanism is transport in
the form of Sn hydrides (SnH4). Because of the counter flow of buffer gas at the intermediate focus aperture – the main
chamber of the AD-tool is at a higher pressure than the SoCoMo module - the deposition on the first illuminator mirror is
estimated to be 100x lower than the deposition on the test samples. As it is unclear which transport mechanism is
dominant for Sn atoms, we simply divide the amount of Sn debris deposition on the reference sample by 100 to find the
deposition on the first illuminator mirror. For Ruthenium atoms only the first transport mechanism applies, for which we
assume a factor 300 suppression based on the ratio of the surfaces of the aperture and the first illuminator mirror.
Figure 4. Sample holder for debris test (measured under various sample orientations inside/outside the exposure beam) at
intermediate focus.
A Sn ‘layer’ of 0.010 ± 0.002 nm was found on the reference sample at intermediate focus after 108 shots. Worst case,
taking into account the area of the first illuminator mirror with respect to the reference sample, this would imply 0.012
nm Sn deposition per 1010 shots. The 10% reflection lost will be reached after 5x1010 shots, which is 2778 exposure
hours at 5 kHz source repetition rate. No Ruthenium atoms were found within the detection limit of 0.1 nm. This
translates to less than 0.1 nm Ruthenium deposited per 3x1010 shots, and 10% reflection loss after ~4000 exposure hours
- at 5 kHz source repetition rate.
More tests will be executed on the SoCoMo including measurement of the total power output at the intermediate focus,
the DUV output power, and the far-field distribution.
Normalised LS repro.
1.2
1.0 40
Frequency
0.8
30
0.6
0.4 20
0.2
10
0.0
8/1/2007
8/15/2007
8/29/2007
9/12/2007
9/26/2007
10/10/2007
10/24/2007
11/7/2007
11/21/2007
12/5/2007
12/19/2007
1/2/2008
1/16/2008
1/30/2008
0
0.0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1.0
1.1
Normalised Repro [a.u.]
1.5 40
Frequency
1.0 30
20
0.5
10
0.0
8/23/2007
9/6/2007
9/20/2007
10/4/2007
10/18/2007
11/1/2007
11/15/2007
11/29/2007
12/13/2007
12/27/2007
1/10/2008
1/24/2008
2/7/2008
0.0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1.0
1.1
1.2
1.3
1.4
1.5
1.6
1.7
Normalised Repro [a.u.]
Figure 5. Normalized focus- and alignment sensor performance during >5 months of AD-tool operation on-site. At irregular
intervals the sensor reproducibility is measured (left charts) and evaluated (right charts). All measured values are well within the
requirement (red line) for both sensor systems.
It can be seen that both the alignment- and focus sensor reproducibilities are comfortably within the requirements (red
line in the to-specification-normalized plots of Figure 5, not only at one specific moment but also over this extended 5
month period of routine tool monitoring.
The wafer stage performance of both systems is compared and evaluated over an extended period of time. In Figure 6 the
normalized wafer stage performance is plotted – on the left-hand side the moving average and moving standard deviation
is compared, whereas on the right-hand side the moving average is evaluated over a 8-month period. It can be concluded
that not only the wafer stage performs well within specification, but also that it does that over an extended period of time.
System A MA-x
Normalized MAx,y [a.u.]
MA-y
2.0 System B
1.5
1.5
1.0
1.0
0.5
0.5
0.0 0.0
MAx MAy MAz MSDx MSDy MSDz May-07 Jul-07 Sep-07 Nov-07 Jan-08
Figure 6. Normalized wafer stage dynamic performance. Both moving averages in x and y direction are well within specification
(red line) over an extended period of time of 8 months.
1.0 x
1.0
x
y
Normalised frequency
Normalised frequency
0.8 y 0.8
0.6
40 fields of 26mm x 26mm 0.6
13 x 15 grid of XPA marks per field
Figure 7. Single-machine (left) and matched machine (right) overlay histogram of the AD-tool.
The matching overlay of the AD-tool to an ASML TWINSCAN XT:1450 was determined using measurements on a
reference wafer. Its overlay histogram can also be seen in Figure 7, and after mathematical correction for systematic
errors the matched machine overlay was determined to be less than 11.2 nm.
4. IMAGING RESULTS
Between October 2007 and early February 2008 more than 200 300-mm wafers have been exposed in the AD-tools using
a low power (0.3 W in-band EUV at IF) Sn source, see Figure 8. It confirms that the AD-tools operate in a stable way,
especially if one takes into account that some wafers (with many fields) took more than 5 hours to expose.
100
150
75
100
50
50
25
0 0
Oct-07 Nov-07 Dec-07 Jan-08 Feb-08
Figure 8. Monthly and cumulative wafer exposures in the AD-tool; in recent months more than 200 300-mm wafers were
exposed.
So far, all exposures are done at maximum NA of 0.25 and a top hat conventional coherence of 0.5. Below we discuss
EUV flare and show some results of lines/spaces and contact hole imaging.
In order to measure the flare in the exposure tool, full field exposures were carried out at energies of 5.5, 6.0, and 6.5
times the dose-to-clear E0 in a 150-nm Rohm&Haas XP4502J resist (also known as MET1K). We determined E0 = 2.0
mJ/cm2. We used our stray light reticle which contains so called “spoke wheels” units and Kirk-box units. This reticle is
highly reflective, i.e., the absorber coverage on this reticle is <5%.
Figure 9. Spoke wheel exposure at 13 mJ/cm2 (6.5xE0) in a 150-nm Rohm&Haas XP4502J resist (MET1K). Dark regions
correspond to resist. At 6.5xE0 on all slit positions the 2 µm and 1 µm isolated lines for all orientations are still visible,
demonstrating that stray light for a 2 µm isolated line is significantly lower than 100%/6.5=15.4% on all slit positions.
The results of a typical flare exposure are shown in Figure 9. Spoke wheel images are shown at a dose of 13 mJ/cm2, i.e.,
6.5xE0, in the Rohm&Haas XP4502J resist (MET1K). As can be seen, on all slit positions, the 1 µm and 2 µm wide
isolated spokes still print, demonstrating that the stray light for a 2 µm isolated line is significantly less than 100%/6.5 =
15.4%. Moreover, the 1 µm spoke remains visible for all orientations, indicating that there is no apparent anisotropy in
the measured stray light.
Figure 10. Kirk box exposure at 13 mJ/cm2 (6.5xE0) in the 150-nm Rohm&Haas XP4502J resist (MET1K). Dark regions
correspond to resist. The expected flare variation across the arc is confirmed by the exposure.
In order to measure the flare variation across the arc, the standard Kirk box test was determined on 13 slit positions.
Typical results are plotted in Figure 10, where the Kirk boxes at the left, center, and right side of the slit (x-positions) in
32nm half pitch L/S through focus pushing the resist limit
F - 40nm Focus = F F + 40nm 31nm 30nm
__
V
ii
29nm
Figure 11. 32 nm vertical and horizontal L/S through focus printed in 100 nm MET2D (XP5271I) (left) and 31 nm L/S and
beyond (right).
Figure 11 plots 32 nm half pitch images in 100 nm thick MET2D (XP5271I) resist. The processing conditions for the
resist are a soft bake 130oC for 60s and 120oC post exposure bake (PEB) for 90s. These processing conditions produced
higher resolution than the standard 120oC soft bake and 110oC for 60s PEB process, which did not print beyond 32 nm
L/S. The dose-to-size for such L/S is about 25 mJ/cm2 for vertical lines and 29 mJ/cm2 for horizontal lines. As the right
part of the figure shows, printing still continues beyond 32 nm L/S, albeit with large line-edge roughness (LER) and
significant scumming and top loss. Since both of the other investigated resists (Rohm and Haas XP6305 and FEVS-
P1101) do not support printing beyond 36 and 33 nm L/S respectively, it is clear that resist blur is still a significant issue
for L/S printing.
Ii'
Figure 12. 35 nm half pitch vertical (top row) and horizontal (bottom row) L/S through slit printed in 120 nm MET2D
(XP5271F).
Below we present i) the resolution limits of dense aligned CH printing in two different resists, ii) full field behaviour of
36 nm dense aligned CHs, and iii) to show simultaneously dense and isolated CH printing the process window for a 38
nm SRAM contact hole cell.
Figure 13. Top: dense aligned contact holes printed in the 90 nm Rohm and Haas resist (MET2D 5271I). Bottom: dense aligned
contact holes printed in the 80 nm FEVS-P1101 resist. The right most SEM in each row is a magnified view of the indicated
contact array.
As becomes clear from the images, neither resist reaches the predicted 29-28 nm tool resolution limit. That resist impacts
resolution becomes clear when comparing top and bottom pictures in Figure 13: the Rohm and Haas XP5271I resist has a
somewhat higher resolution than the FEVS-P1101 resist; the 30 nm dense aligned CH matrix still prints in the Rohm and
Haas resist while the FEVS-P1101 stops at 32 nm. However, the FEVS-P1101 resist requires only half of the dose to
print the same dense CH arrays. Moreover, as long as the FEVS-P1101 resist prints the CH matrices, it has significantly
larger exposure latitude. For 55 nm dense aligned CHs in the FEVS-P1101 we measure an exposure latitude of 35%,
whereas the Rohm and Haas resist displays a 27% exposure latitude. For 40 nm dense aligned CHs FEVS-P1101 still
measures a 27% exposure latitude while the exposure latitude in the Rohm and Haas resist is reduced to 20%.
————w—ww—ww—w———————
.:..'. •:. •••••'••';
$.........
44
42
____
I*...'i'o,.,-...4..
)
40 40
38 38
CD [nm]
CD [nm]
36 36
-0.15
34 -0.19 34
-0.23
22.5
32 -0.27 32
-0.31 24.5
30 -0.35 30 26.5
-0.39 focus in [µm] energy in [mJ/cm2] 28.5
28 28
-13 -11 -9 -7 -5 -3 -1 1 3 5 7 9 11 13 -13 -11 -9 -7 -5 -3 -1 1 3 5 7 9 11 13
Slit position [mm] Slit position [mm]
Figure 14. Top down SEM images of the 36 nm dense aligned contact hole arrays through slit (top); magnified on the contact
holes (middle). Bottom: CD across field and focus at best energy (left) and CD across field and energy at best focus (right).
•
38 46
44
•
42
•• •*•• 235
C D [n m ]
115 40
38 30
.•.•.y ••
.•
38 36
34
31
32
33
34
•':i• •. •
35
36
32
-0.40 -0.36 -0.32 -0.28 -0.24 -0.20
Focus [µm]
Figure 15. Top down SEM image of the 38 nm CH SRAM cell printed in the FEVS-P1101 resist (left), distances indicated in nm.
Field averaged CD through dose (in mJ/cm2) and focus on the right.
Exposure Latitude
13.5mJ 14.0mJ 14.5mJ 15.0mJ 15.5mJ
After
Hard Mask
etch
After
Oxide
etch
Figure 16. Resist image simulation and exposure latitude evaluation of SRAM cell – in resist, after hardmask etch, and after oxide
etch (this conference[2])
Let’s compare the introduction time to ArF immersion technology: first pre-pre-production tools were shipped to
Memory manufacturers in 2005, and actual memory production started in early 2007. This left only 2 years of
implementation and process optimization time. Taking into account that the EUVL alpha demo tools are available at 2
research centers with a broad base of customers being associated, the implementation time for EUVL from 2008 to 2011
is more than 3 years.
Moving on to volume production, higher apertures are needed in order to support the 2x and 1x nm NAND nodes,
followed by DRAM and Logic implementation. Main drive for the numerical apertures is expected to come from NAND
as happened for immersion as well.
As shown in Figure 17, 0.32-NA optics can cover at least 2 full nodes. The extension to the 1x nm nodes can be achieved
by enhanced off-axis illumination lowering the k1 factors, which then still are above the current low-k1 regime. Due to
the high k1 factor the 2x nm nodes can be covered using conventional illumination. Upto an NA of 0.4, systems can still
be designed having a 6o chief ray angle of incidence at object. Flare and wavefront aberrations need to be improved in
order to support these imaging nodes.
22
NILS resist CL EL
resolution [nm]
18
16
14
12
An BIM
ua M
An SM
s_ M
ip SM
on I M
90 IM
SM
Q PS
I
Q _B
C _B
D 0_ B
P
_P
n_
n_
v_
s
v
on
9
ua
ip
C
7. CONCLUSIONS
The ASML EUV alpha demo systems have shown imaging capability down to 30nm half pitch lines/spaces and contact
hole printing using conventional illumination and without using OPC on the masks. Current imaging performance
appears limited by the performance of the resists that were used. This work has led to the demonstration of the world’s
first full field devices including an EUV exposure for a metal layer. In addition, we have shipped a fully functional Sn
discharge produced plasma source that operates at ~4W in-band EUV output power.
ACKNOWLEDGEMENTS
The authors would like to emphasize that the work presented here has been a team effort, with contributions by a large
number of people in various organizations. The following parties are especially acknowledged for their contributions:
Zeiss SMT AG, Philips, TNO TPD, and Philips Extreme UV GmbH. SEMATECH North is gratefully acknowledged for
providing SEM access, and Rohm&Haas and FUJIFILM for providing resist material.
REFERENCES
[1] B. La Fontaine, et al., “The use of EUV lithography to produce demonstration devices”, this conference (2008).
[2] G.F Lorusso, et al., “Imaging performance of the EUV alpha demo tool at IMEC”, this conference (2008).
[3] N. Harned et al. “EUV lithography with the Alpha Demo Tools: status and challenges”, SPIE Symposium on
Emerging Lithographic Technologies XI, (2007).
[4] H. Meiling, H. Meijer, V. Banine, R. Moors, R. Groeneveld, H.J. Voorma, U. Mickan, B. Wolschrijn, B. Mertens,
G.van Baars, P. Kürz, and N. Harned, “First performance results of the ASML alpha demo tools”, SPIE Symposium
on Emerging Lithographic Technologies X, Vol. 6151 (2006).
[5] H. Meiling, V. Banine, N. Harned, B. Blum, P. Kürz, and H.Meijer, “Development of the ASML EUV alpha demo
tool”, SPIE Symposium on Emerging Lithographic Technologies IX, Vol. 5751, p. 90 (2005).
[6] H. Meiling, V. Banine, P. Kürz, and N. Harned, “Progress in the ASML EUV program”, SPIE Symposium on
Emerging Lithographic Technologies VIII, Vol. 5374, p. 31 (2004).
[7] H. Meiling, V. Banine, P. Kürz, B. Blum, G.J. Heerens, and N. Harned, “The EUV Program at ASML: an update”,
SPIE Symposium on Emerging Lithographic Technologies VII, Vol. 5037, p. 24 (2003).
[8] H. Meiling, J.P.H. Benschop, R. Hartman, P. Kürz, P. Høghøj, R. Geyl, and N. Harned, “EXTATIC, ASML’s
α-tool development for EUVL”, SPIE Symposium on Emerging Lithographic Technologies VI, Vol. 4688, p. 52
(2002).
[9] H. Meiling, J.P.H. Benschop, U. Dinger, and P. Kürz, “Progress of the EUVL alpha tool," SPIE Symposium on
Emerging Lithographic Technologies V, Vol. 4343, p. 38 (2001).