Performance of The Full Field EUV Systems PDF

Download as pdf or txt
Download as pdf or txt
You are on page 1of 14

See discussions, stats, and author profiles for this publication at: https://www.researchgate.

net/publication/260818677

Performance of the full field EUV systems - art. no. 69210L

Article  in  Proceedings of SPIE - The International Society for Optical Engineering · April 2008
DOI: 10.1117/12.773259

CITATIONS READS
26 250

23 authors, including:

Anne-Marie M.F. Goethals Bart Kessels


imec ASML
91 PUBLICATIONS   848 CITATIONS    5 PUBLICATIONS   63 CITATIONS   

SEE PROFILE SEE PROFILE

Sjoerd Lok K. Ronse


ASML imec
24 PUBLICATIONS   377 CITATIONS    166 PUBLICATIONS   1,168 CITATIONS   

SEE PROFILE SEE PROFILE

Some of the authors of this publication are also working on these related projects:

Nanofabrication View project

Top Surface Imaging Technology View project

All content following this page was uploaded by K. Ronse on 03 February 2015.

The user has requested enhancement of the downloaded file.


Invited Paper

Performance of the Full Field EUV Systems


Hans Meilinga, Edwin Boona, Nico Buzinga, Kevin Cummingsb, Olav Frijnsa, Judy Gallowayb,
Mieke Goethalsc, Noreen Harnedd, Bas Hultermansa, Roel de Jongea, Bart Kesselsb, Peter Kürze,
Sjoerd Loka, Martin Lowische, Joerg Mallmana, Bill Piersonb, Kurt Ronsec, James Ryanf, Emil Smitt-
Weaverb, Michael Tittnichf, Christian Wagnera, Andre van Dijka, John Zimmermand
a
ASML Netherlands B.V., De Run 6501, 5504 DR Veldhoven, The Netherlands
b
ASML, 25 Corporate Circle, Albany, NY 12203, USA
c
IMEC, Kapeldreef 75, B-3001 Leuven, Belgium
d
ASML Wilton, 77 Danbury Road, Wilton, CT 06897, USA
e
Carl Zeiss SMT AG, D-73447 Oberkochen, Germany
f
College of Nanoscale Science and Engineering of the University at Albany (CNSE),
251 Fuller Rd # B110, Albany, NY 12203, USA

ABSTRACT
The ASML extreme ultraviolet lithography (EUV) alpha demo tool is a 0.25NA fully functional lithography tool with a
field size of 26×33 mm2, enabling process development for sub-40-nm technology. Two exposure tools are installed at
customer facilities, and are equipped with a Sn discharge source. In this paper we present data measured at intermediate
focus of the Sn source-collector module. We also present performance data from both exposure tools, show the latest
results of resist exposures including excellent 32-nm half pitch dense staggered and aligned contact hole images, and
present the highlights of the first demonstration of an electrically functional full field device with one of the layers made
using EUVL in ASML’s alpha demo tool.

Keywords: EUV lithography, system performance, tin sources, resist images, devices, high volume manufacturing.

1. INTRODUCTION
In 2006 ASML shipped two full field EUVL exposure tools to end users. The exposure tools are installed at IMEC in
Leuven, Belgium and at the College of Nanoscale Science and Engineering at the University of Albany (Albany, NY) in
the USA. In order to accelerate the development of EUVL towards introduction for volume production and optimize tool
usage, it was decided to make optimal use of each other’s facilities by coordinating joint exposures. IMEC and Albany
work at present focuses on EUV technology development including flare- and mask shadowing compensation and
preliminary work on electrically active devices. Details of this work can be found elsewhere[1],[2].

2. TIN DPP SOURCE-COLLECTOR MODULE


The ASML Sn source-collector module (SoCoMo) comprises a dense plasma produced (DPP) Sn source from Philips
Extreme UV GmbH, a debris mitigation system, and a grazing incidence collector. This section summarizes some of the
source and SoCoMo experimental results.

2.1. Source
2.1.1. Metrology setup
The Philips EUV source has been qualified in a stand-alone configuration at the supplier. This configuration consists of a
large vacuum vessel to which the source is coupled. The vessel is equipped with several ports with a direct view to the

Emerging Lithographic Technologies XII, edited by Frank M. Schellenberg


Proc. of SPIE Vol. 6921, 69210L, (2008) · 0277-786X/08/$18 · doi: 10.1117/12.773259

Proc. of SPIE Vol. 6921 69210L-1


2008 SPIE Digital Library -- Subscriber Archive Copy
pinch. A calibrated in-band EUV power meter, based on a EUV sensitive diode and a multilayer mirror, is coupled to one
of the ports. Its reading is extrapolated to the output power in 2π sr from the pinch, assuming a homogeneous angular
distribution of the pinch. An EUV-sensitive camera is coupled to the port which is located on the optical axis of the full
system, which is perpendicular to the plane of the exit face of the source head. The camera is used to determine the
geometric efficiency of the source. This is defined as the amount of light passing the intermediate focus aperture. The
vessel is filled with a buffer gas. All experiments are carried out at fixed pressure similar to the pressure during normal
source operation in a source-collector module. Finally, one port is equipped with a total power meter.

2.1.2. Source results


Figure 1 shows the results of an extended exposure test. The source is fired for 12x106 shots at 4 kHz repetition rate, and
every 2x106 shots the in-band EUV power emitted in 2π sr from the source is measured with the calibrated in-band
power meter. The collection efficiency is calculated from a camera image, which is also taken every 2 million shots. The
source was under energy control for the duration of the test. The left-hand side plot shows the total output power from
the source pinch in 2π sr as measured with the total power meter. The right-hand side plot shows the calculated in-band
EUV power and collection efficiency. As can be seen, the in-band EUV output power of the source varies between 120
and 125 W/2π combined with a collection efficiency of ~60%. Since the input power of the source was a constant 9.3
kW into the capacitor bank, this corresponds to an energy conversion efficiency of 1.35%.

2.0 160 80%


1.8
Total power in plasma [kW/2π]

140 70%
1.6

Collection efficiency [%]


In-band EUV [W/2π]
120 60%
1.4
1.2 100 50%
1.0 80 40%
0.8 60 30%
0.6
40 20%
0.4
20 total pow er 10%
0.2 Coll. Eff
0.0 0 0%
0 1 2 3 4 5 6 0 2 4 6 8 10 12
Measurement [#] Expose time [Mpulse]

Figure 1. Total output power from the source (left), and in-band EUV output power from the source and the geometric collection
efficiency (right).

2.2. Source-collector module


2.2.1. SoCoMo configuration and metrology setup
The main task of the source-collector module (SoCoMo) is to image the source pinch into the intermediate focus
aperture. This function is performed by a grazing incidence collector. To protect the collector against the debris
generated by the source, a debris mitigation system is installed between the source pinch and the collector module.
Between the collector and the intermediate focus a multilayer mirror can be inserted into the beam. When inserted, this
mirror creates a secondary intermediate focus image onto a power sensor. This sensor is called the ‘intermediate focus
power sensor’ or IFPS, and is a ‘thermopile’ type detector, which measures all the incident power. A schematic overview
of the ASML SoCoMo can be seen in Figure 2 below.

source first illuminator


optic
Foil
trap

plasma
IF (inter-
mediate
Grazing incidence focus)
Sn coated collector
rotating electrodes

Figure 2. Schematic overview of the ASML Sn SoCoMo.

Proc. of SPIE Vol. 6921 69210L-2


During the qualification at ASML the SoCoMo module is equipped with two detectors at intermediate focus. At the real
intermediate focus a EUV sensitive camera is mounted. This camera consists of a fluorescent screen with a thin metal
coating designed to pass only light around the 13.5 nm wavelength and a visible-light-sensitive CCD camera placed
behind the screen. This camera is used to make images of the intermediate focus. These images can be used to determine
the best alignment of the source towards the collector. Because the camera is calibrated to an in-band EUV standard at
the supplier, a value for the in-band power at intermediate focus can be derived from the camera image. Furthermore, the
geometric collection efficiency - which is defined as the ratio between the in-band EUV light passing the intermediate
focus aperture and the total in-band EUV light at the intermediate focus aperture - can be determined.

To monitor the temperature of the collector module the collector is equipped with 22 thermocouples on all shells and on
the mechanical structure which holds the shells. Extra thermocouples are mounted on the debris mitigation system and
the temperature of the hottest part of the debris mitigation system is monitored by a pyrometer operating in ratio-mode.
Pressure meters monitor the pressure in the SoCoMo vessel between the collector and the intermediate focus and at a
position near the source pinch.

2.2.2. First results at intermediate focus of a fully functional Sn DPP SoCoMo


A 108 shot (7 hrs at 4 kHz) exposure was executed on the complete SoCoMo, as described in section 2.2.1. During this
exposure the power at the IFPS position was monitored using a thermopile sensor. The measured intermediate focus
power is calibrated with a factor 0.6 to determine the in-band EUV power at intermediate focus. This calibration factor of
0.6 includes uncertainties in the measurement of the IFPS sensor, measurement of the camera, pinch size uncertainty, and
mirror reflectivity uncertainty. The left-hand side plot in Figure 3 shows this calibrated in-band EUV power at IF versus
time to be 4.0 ± 0.3 W, which is the highest measured in-band EUV output power in any Sn SoCoMo to date.

6 25
shell 7
5 shell 8
24
In-band EUV at IF [W]

Structure
Temperature [oC]

4
23
3
22
2

1 21

0 20
0 50 100 150 200 250 300 350 400 450 500 0 50 100 150 200 250 300 350 400 450 500
Time [min] Time [min]

Figure 3. Left: measured in-band EUV power at IF during 108 shot / 7 hr exposure. Right: temperature monitoring of the collector
during an exposure of 108 shots.

The 108 shots were fired as 500 bursts of 2x105 pulses with 0.5 seconds in between the bursts. The source was operated
at the same conditions as shown in section 2.1.2: 4 kHz and 2.3 J per pulse input energy into the capacitor bank. The
source was running in free running mode, which implies that the input high voltage was kept constant and no energy
control is done. The in-band EUV output from the source was not measured directly on the source, but we assume that it
was comparable to the output power during the 107 shot test, which is ~125 W/2π. Combined with our estimate on
collector transmission and gas absorption losses, we calculate a transmission of the debris mitigation system of 50% to
55%, according to expectation.

During the above experiment the temperature of the grazing incidence collector was monitored. The right-hand side plot
of Figure 3 shows the temperature versus time characteristics. It can be seen that the water cooling of the collector is
sufficient to keep the temperature rise limited to a few degrees Celsius.

Proc. of SPIE Vol. 6921 69210L-3


2.2.3. Debris at intermediate focus
In addition to output power, a key parameter to measure at intermediate focus (IF) is the amount of molecular debris. If
passed through IF, minute molecular Sn debris or other metallic elements including Ruthenium from the collector mirror
surface would cause unacceptable reflection loss of the first illumination mirror: 0.06 nm of Sn or 0.24 nm Ru cause 10%
reflection loss.

To measure the amount of debris passing IF, multilayer mirror samples have been mounted near the intermediate focus
of the stand-alone SoCoMo module. Samples were mounted inside and outside the light path, as can be seen in the
photograph of Figure 4. The amount of debris deposited on the samples after 108 shots was measured by means of XRF
analysis. The measured deposition is then scaled to the surface area of the first illuminator mirror. For this scaling two
transport mechanisms are considered for Sn atoms. First, for the direct ballistic path the flux through the intermediate
focus aperture can simply be scaled towards the area of the first illuminator mirror. The second mechanism is transport in
the form of Sn hydrides (SnH4). Because of the counter flow of buffer gas at the intermediate focus aperture – the main
chamber of the AD-tool is at a higher pressure than the SoCoMo module - the deposition on the first illuminator mirror is
estimated to be 100x lower than the deposition on the test samples. As it is unclear which transport mechanism is
dominant for Sn atoms, we simply divide the amount of Sn debris deposition on the reference sample by 100 to find the
deposition on the first illuminator mirror. For Ruthenium atoms only the first transport mechanism applies, for which we
assume a factor 300 suppression based on the ratio of the surfaces of the aperture and the first illuminator mirror.

Figure 4. Sample holder for debris test (measured under various sample orientations inside/outside the exposure beam) at
intermediate focus.

A Sn ‘layer’ of 0.010 ± 0.002 nm was found on the reference sample at intermediate focus after 108 shots. Worst case,
taking into account the area of the first illuminator mirror with respect to the reference sample, this would imply 0.012
nm Sn deposition per 1010 shots. The 10% reflection lost will be reached after 5x1010 shots, which is 2778 exposure
hours at 5 kHz source repetition rate. No Ruthenium atoms were found within the detection limit of 0.1 nm. This
translates to less than 0.1 nm Ruthenium deposited per 3x1010 shots, and 10% reflection loss after ~4000 exposure hours
- at 5 kHz source repetition rate.

More tests will be executed on the SoCoMo including measurement of the total power output at the intermediate focus,
the DUV output power, and the far-field distribution.

3. EXPOSURE TOOL PERFORMANCE

3.1. Wafer metrology and wafer stage dynamic performance


Details of the configuration of the ASML EUV alpha demo tool and previous results can be found elsewhere[3]-[9]. Here
we compare the dynamic performance and wafer metrology performance of both tools. We monitor on a regular basis a
set of key performance indicators, including alignment sensor reproducibility, focus sensor reproducibility, and stage
dynamics including moving average and moving standard deviation. In Figure 5 a subset of this tool monitoring data is

Proc. of SPIE Vol. 6921 69210L-4


presented. Wafer metrology sensors performance is measured over a ~5 month period of time. In total more than 100
data points are collected in this timeframe, and all are plotted in Figure 5.

Focus sensor performance Focus sensor performance


1.4 50

Normalised LS repro.
1.2
1.0 40

Frequency
0.8
30
0.6
0.4 20
0.2
10
0.0
8/1/2007
8/15/2007
8/29/2007
9/12/2007
9/26/2007
10/10/2007
10/24/2007
11/7/2007
11/21/2007
12/5/2007
12/19/2007
1/2/2008
1/16/2008
1/30/2008
0

0.0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0.9

1.0

1.1
Normalised Repro [a.u.]

Alignment performance Alignment performance


2.0 50
Normalised AA repro.

1.5 40

Frequency
1.0 30

20
0.5

10
0.0
8/23/2007
9/6/2007
9/20/2007
10/4/2007
10/18/2007
11/1/2007
11/15/2007
11/29/2007
12/13/2007
12/27/2007
1/10/2008
1/24/2008
2/7/2008

0.0
0.1
0.2
0.3
0.4
0.5
0.6
0.7
0.8
0.9
1.0
1.1
1.2
1.3
1.4
1.5
1.6
1.7
Normalised Repro [a.u.]

Figure 5. Normalized focus- and alignment sensor performance during >5 months of AD-tool operation on-site. At irregular
intervals the sensor reproducibility is measured (left charts) and evaluated (right charts). All measured values are well within the
requirement (red line) for both sensor systems.

It can be seen that both the alignment- and focus sensor reproducibilities are comfortably within the requirements (red
line in the to-specification-normalized plots of Figure 5, not only at one specific moment but also over this extended 5
month period of routine tool monitoring.

The wafer stage performance of both systems is compared and evaluated over an extended period of time. In Figure 6 the
normalized wafer stage performance is plotted – on the left-hand side the moving average and moving standard deviation
is compared, whereas on the right-hand side the moving average is evaluated over a 8-month period. It can be concluded
that not only the wafer stage performs well within specification, but also that it does that over an extended period of time.

WS: champion data Wafer stage performance


2.5 2.0
Normalized WS perf. [a.u.]

System A MA-x
Normalized MAx,y [a.u.]

MA-y
2.0 System B
1.5

1.5
1.0
1.0
0.5
0.5

0.0 0.0
MAx MAy MAz MSDx MSDy MSDz May-07 Jul-07 Sep-07 Nov-07 Jan-08

Figure 6. Normalized wafer stage dynamic performance. Both moving averages in x and y direction are well within specification
(red line) over an extended period of time of 8 months.

Proc. of SPIE Vol. 6921 69210L-5


3.2. Single and matched machine overlay performance
After full integration of the exposure tool, the overlay performance of the AD-tool was evaluated. To determine the
single-machine overlay we exposed 40 fields (fieldsize: 26mm x 26mm) twice in MET-1K resist of Rohm&Haas, where
each field contained a grid of 13x15 XPA alignment markers. The second exposure was shifted with a fixed offset in x
and y compared to the first exposure. The resulting overlay histogram can be seen in the left-hand side of Figure 7. After
applying mathematical corrections for systematic translational errors, the 99.7% single-machine overlay value amounts
to 7.2 nm in x and 7.4 nm in y direction, respectively.

1.0 x
1.0
x
y

Normalised frequency
Normalised frequency

0.8 y 0.8

0.6
40 fields of 26mm x 26mm 0.6
13 x 15 grid of XPA marks per field

0.4 0.4 uncorrected:


X: 12.4 nm
Y: 20.4 nm

0.2 0.2 Corrected:


X: 9.9 nm
Y:11.2 nm
0.0 0.0
0 1 2 3 4 5 6 7 8 9 10 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
Single machine overlay [nm] Matched machine overlay [nm]

Figure 7. Single-machine (left) and matched machine (right) overlay histogram of the AD-tool.

The matching overlay of the AD-tool to an ASML TWINSCAN XT:1450 was determined using measurements on a
reference wafer. Its overlay histogram can also be seen in Figure 7, and after mathematical correction for systematic
errors the matched machine overlay was determined to be less than 11.2 nm.

4. IMAGING RESULTS
Between October 2007 and early February 2008 more than 200 300-mm wafers have been exposed in the AD-tools using
a low power (0.3 W in-band EUV at IF) Sn source, see Figure 8. It confirms that the AD-tools operate in a stable way,
especially if one takes into account that some wafers (with many fields) took more than 5 hours to expose.

AD-tool wafers per month


150 250
wafers per month
125 cumulative
200
cumulative # wafers
# wafers per month

100
150
75
100
50

50
25

0 0
Oct-07 Nov-07 Dec-07 Jan-08 Feb-08

Figure 8. Monthly and cumulative wafer exposures in the AD-tool; in recent months more than 200 300-mm wafers were
exposed.

So far, all exposures are done at maximum NA of 0.25 and a top hat conventional coherence of 0.5. Below we discuss
EUV flare and show some results of lines/spaces and contact hole imaging.

Proc. of SPIE Vol. 6921 69210L-6


4.1. Flare
Flare is a significant contributor to contrast lost and a good understanding of it is thus required. As flare arises from
(among others) surface imperfections that are larger than roughly ½ wavelength, flare is a serious concern for 13.5 nm
EUV lithography; decreasing the wavelength from 193 nm to 13.5 nm increases the flare with more than two orders of
magnitude. New polishing techniques that have been developed at Carl Zeiss SMT AG in combination with the limited
number of mirrors in the projection optics (compared to the number of lens elements in the 193 nm lenses) ensure that
the stray light remains at levels that still allow imaging with high contrast. We define flare as the total integrated scatter
for a 2 µm isolated line in an otherwise completely reflective reticle, integrated over a full field, i.e., from x = -13 mm to
+13 mm and for y = -13 mm to + 13 mm. For the EUV alpha demo tools, the flare specification for this 2 µm line is
<16%, while the flare variation across the field must be <2.5%.

In order to measure the flare in the exposure tool, full field exposures were carried out at energies of 5.5, 6.0, and 6.5
times the dose-to-clear E0 in a 150-nm Rohm&Haas XP4502J resist (also known as MET1K). We determined E0 = 2.0
mJ/cm2. We used our stray light reticle which contains so called “spoke wheels” units and Kirk-box units. This reticle is
highly reflective, i.e., the absorber coverage on this reticle is <5%.

Figure 9. Spoke wheel exposure at 13 mJ/cm2 (6.5xE0) in a 150-nm Rohm&Haas XP4502J resist (MET1K). Dark regions
correspond to resist. At 6.5xE0 on all slit positions the 2 µm and 1 µm isolated lines for all orientations are still visible,
demonstrating that stray light for a 2 µm isolated line is significantly lower than 100%/6.5=15.4% on all slit positions.

The results of a typical flare exposure are shown in Figure 9. Spoke wheel images are shown at a dose of 13 mJ/cm2, i.e.,
6.5xE0, in the Rohm&Haas XP4502J resist (MET1K). As can be seen, on all slit positions, the 1 µm and 2 µm wide
isolated spokes still print, demonstrating that the stray light for a 2 µm isolated line is significantly less than 100%/6.5 =
15.4%. Moreover, the 1 µm spoke remains visible for all orientations, indicating that there is no apparent anisotropy in
the measured stray light.

Figure 10. Kirk box exposure at 13 mJ/cm2 (6.5xE0) in the 150-nm Rohm&Haas XP4502J resist (MET1K). Dark regions
correspond to resist. The expected flare variation across the arc is confirmed by the exposure.

In order to measure the flare variation across the arc, the standard Kirk box test was determined on 13 slit positions.
Typical results are plotted in Figure 10, where the Kirk boxes at the left, center, and right side of the slit (x-positions) in

Proc. of SPIE Vol. 6921 69210L-7


the 150-nm XP4502J Rohm&Haas resist exposed at 13 mJ/cm2 (6.5xE0). At this energy in both the left and the right side
of the slit the 0.5 µm Kirkbox still prints, while in the center of the slit, the 1.3 µm Kirkbox is the smallest box that
prints, i.e., the 1 µm box has disappeared. This demonstrates the expected flare variation across the imaging arc; at the
left (right) edge of the slit, there is no long range stray light arriving from the left (right) side, whereas in the center of the
slit, long range stray light from both left and right side contributes. When using the point spread function of the system
that is calculated from the power spectral density of the surface roughness of the mirror substrates before coating, we
find that the amplitude of the stray light with a range between 0.25 and 0.65 µm (half of the smallest printing box size) is
1.3%. The variation of the stray light along the arc is thus expected to be 1.3%. The full Kirkbox test confirms this result;
the 0.5 µm box measures a 1.5% higher stray light than the 1.3 µm box.

4.2. Lines/spaces imaging


With the NA of 0.25, a tophat σ of 0.5, and the wavelength of 13.5 nm, for dense lines/spaces (L/S) structures below 55
nm the diffraction pattern consists of three peaks. Below 27 nm the center of the +1 and -1 diffraction orders move
outside the pupil and with σ = 0.5 printing stops. The inclusion of aberrations (measured with the transmission imaging
sensor), moving standard deviation (MSD) of the stages, and the measured flare taking into account the mask absorber
coverage, results in a normalized image log slope (NILS) in the aerial image of 1.4 for 30-32 nm L/S depending on the
position in the slit. With the proper resist, printing down to 30 nm L/S should be possible.

4.2.1. Dense L/S resolution imaging


We have investigated three different resists, two from Rohm and Haas and one from FUJIFILM. The Rohm and Haas
XP6305 had a resolution limit of ~36 nm, while the FUJIFILM FEVS-P1101 resist onto which little process optimization
was done, prints down to 33 nm L/S but with slightly lower image quality than the Rohm and Haas XP5271 resist. We
have most experience with this last resist and therefore it was used in the resolution imaging presented below.

32nm half pitch L/S through focus pushing the resist limit
F - 40nm Focus = F F + 40nm 31nm 30nm

__
V

ii
29nm

Figure 11. 32 nm vertical and horizontal L/S through focus printed in 100 nm MET2D (XP5271I) (left) and 31 nm L/S and
beyond (right).

Figure 11 plots 32 nm half pitch images in 100 nm thick MET2D (XP5271I) resist. The processing conditions for the
resist are a soft bake 130oC for 60s and 120oC post exposure bake (PEB) for 90s. These processing conditions produced
higher resolution than the standard 120oC soft bake and 110oC for 60s PEB process, which did not print beyond 32 nm
L/S. The dose-to-size for such L/S is about 25 mJ/cm2 for vertical lines and 29 mJ/cm2 for horizontal lines. As the right
part of the figure shows, printing still continues beyond 32 nm L/S, albeit with large line-edge roughness (LER) and
significant scumming and top loss. Since both of the other investigated resists (Rohm and Haas XP6305 and FEVS-
P1101) do not support printing beyond 36 and 33 nm L/S respectively, it is clear that resist blur is still a significant issue
for L/S printing.

Proc. of SPIE Vol. 6921 69210L-8


4.2.2. Full field dense L/S imaging at 35nm half pitch
To demonstrate full field imaging performance of the exposure tool and resist, we present in Figure 12 the results for
35nm half pitch horizontal and vertical lines and spaces on various locations in the slit. In order to compensate for the
mask shadowing effect due to non-telecentric illumination of the mask, the vertical lines at mask were given a CD offset
of ~6 nm with respect to the horizontal lines.

Slit x-position (mm)

Ii'
Figure 12. 35 nm half pitch vertical (top row) and horizontal (bottom row) L/S through slit printed in 120 nm MET2D
(XP5271F).

4.3. Contact hole imaging


With the NA = 0.25, tophat σ = 0.5 and the wavelength = 13.5 nm, for dense aligned contact holes (CHs) from 54 nm
down to 39 nm, the center of 9 peaks of the diffraction pattern falls in the aperture. For smaller dense aligned CH
structures only 5 peaks in the diffraction pattern contribute to the imaging until at 27 nm the centers of the diffraction
orders are outside the pupil. The lower limit for dense aligned CH printing, neglecting aberrations, MSD, flare, and resist
is thus 27 nm. Including the aberrations (measured with the transmission imaging sensor), MSD of the stages, and the
measured flare taking into account the mask absorber coverage, results in a NILS in the aerial image of 1.4 for 29-28 nm
dense aligned CHs, depending on position in the slit. With the proper resist, 28 nm dense CH array printing should thus
be feasible. We note that EUVL has the additional advantage that it can print dense and more isolated CH arrangements
simultaneously, without the need for optical proximity correction.

Below we present i) the resolution limits of dense aligned CH printing in two different resists, ii) full field behaviour of
36 nm dense aligned CHs, and iii) to show simultaneously dense and isolated CH printing the process window for a 38
nm SRAM contact hole cell.

4.3.1. Dense CH resolution imaging


To test the tool performance, dense aligned CH matrices were printed in two different resists. Figure 13 displays
overview pictures of these dense aligned contact hole matrices. The images in the top row of the figure were obtained in
a 90 nm MET2D (XP5271I) resist using a 130oC soft bake for 60s and a 110oC PEB for 60s. Images were measured
using a Hitachi SEM. The images in the bottom row were obtained in the 80 nm FEVS-P1101 resist using a 120oC soft
bake for 90s and a 110oC PEB for 90s. These images were measured on a KLA ECD2 SEM.

Proc. of SPIE Vol. 6921 69210L-9


35 'ml
38 mJ/cm2

Figure 13. Top: dense aligned contact holes printed in the 90 nm Rohm and Haas resist (MET2D 5271I). Bottom: dense aligned
contact holes printed in the 80 nm FEVS-P1101 resist. The right most SEM in each row is a magnified view of the indicated
contact array.

As becomes clear from the images, neither resist reaches the predicted 29-28 nm tool resolution limit. That resist impacts
resolution becomes clear when comparing top and bottom pictures in Figure 13: the Rohm and Haas XP5271I resist has a
somewhat higher resolution than the FEVS-P1101 resist; the 30 nm dense aligned CH matrix still prints in the Rohm and
Haas resist while the FEVS-P1101 stops at 32 nm. However, the FEVS-P1101 resist requires only half of the dose to
print the same dense CH arrays. Moreover, as long as the FEVS-P1101 resist prints the CH matrices, it has significantly
larger exposure latitude. For 55 nm dense aligned CHs in the FEVS-P1101 we measure an exposure latitude of 35%,
whereas the Rohm and Haas resist displays a 27% exposure latitude. For 40 nm dense aligned CHs FEVS-P1101 still
measures a 27% exposure latitude while the exposure latitude in the Rohm and Haas resist is reduced to 20%.

4.3.2. Dense CH full field imaging


The Fuji1101 resist was chosen to measure the CH printing performance across field, because of its larger exposure
latitude and lower dose-to-size. An ASML reticle was used and the results across field for 36 nm dense aligned contact
holes are shown in Figure 14. As becomes clear, on all field positions the CHs are open and print fairly homogeneously.
A small change in critical dimension (CD) through slit is visible in the data; this most likely results from a reticle
fingerprint. From the CD change on the various field positions we determine the process windows. The overlap of the
process windows across field yields a 12% exposure latitude and more than 240 nm depth of focus; individual process
windows show a 23% EL.

Proc. of SPIE Vol. 6921 69210L-10


-11.3 mm 5.6 mm 0 mm 5.6 mm 11.3 mm

————w—ww—ww—w———————
.:..'. •:. •••••'••';
$.........
44
42
____
I*...'i'o,.,-...4..
)

•'..l •...• . .ft4•...ka


•tt•.:.
CD full field & focus at BE
.• ó"t
e:I
44
42

CD full field & energy at BF

40 40
38 38
CD [nm]

CD [nm]
36 36
-0.15
34 -0.19 34
-0.23
22.5
32 -0.27 32
-0.31 24.5
30 -0.35 30 26.5
-0.39 focus in [µm] energy in [mJ/cm2] 28.5
28 28
-13 -11 -9 -7 -5 -3 -1 1 3 5 7 9 11 13 -13 -11 -9 -7 -5 -3 -1 1 3 5 7 9 11 13
Slit position [mm] Slit position [mm]

Figure 14. Top down SEM images of the 36 nm dense aligned contact hole arrays through slit (top); magnified on the contact
holes (middle). Bottom: CD across field and focus at best energy (left) and CD across field and energy at best focus (right).

4.3.3. SRAM CH imaging


Figure 15 (left) displays a top down SEM image of the 38 nm CH SRAM cell in the FEVS-P1101 resist that was used to
demonstrate printing of dense and semi-isolated CHs simultaneously. Distances indicated in the figure are in nm. Note
that no optical proximity correction was applied to the mask; nominally all CHs have the same 38 nm rectangular
opening at the reticle. The dose-to-size for the 38 nm SRAM CH arrangement is about 31 mJ/cm2. The resulting across
field process window is plotted in the right figure. A 15% exposure latitude and >120 nm depth of focus are determined.

*. •. 38nm SRAM CD full field focus/dose


38 46
44

42

•• •*•• 235
C D [n m ]

115 40

38 30

.•.•.y ••
.•
38 36

34
31
32
33
34

•':i• •. •
35
36
32
-0.40 -0.36 -0.32 -0.28 -0.24 -0.20
Focus [µm]

Figure 15. Top down SEM image of the 38 nm CH SRAM cell printed in the FEVS-P1101 resist (left), distances indicated in nm.
Field averaged CD through dose (in mJ/cm2) and focus on the right.

5. TECHNOLOGY INTEGRATION INTO DEVICE


The ASML EUV alpha demo tool was utilized to create full field functional devices. In this effort an EUV exposed layer
was inserted into the standard fab flow for a functioning monitor lot successfully demonstrating the integration of an
EUV reticle, exposure tool imaging, overlay matching to optical tools, and resist processing. Performance of transistors
created from this lot was not distinguishable from wafers exposed only with optical lithography. Details of this work can
be found elsewhere[1].

Proc. of SPIE Vol. 6921 69210L-11


j
The ASML exposure system was also used to evaluate first lithography processing for 32nm-node SRAM structures. On
the left-hand side of Figure 16 the resist image simulation result can be seen of the targeted SRAM cell, and on the right-
hand side a first exploration of the exposure latitude, for a dose range between 13.5 and 15.5 mJ/cm2. Results are shown
after the lithography process in resist, after etching of the hardmask, and after oxide etch. More work is in progress to
complete the SRAM cell; details of this work can be found elsewhere[2].

Exposure Latitude
13.5mJ 14.0mJ 14.5mJ 15.0mJ 15.5mJ

Resist image Litho

After
Hard Mask
etch

After
Oxide
etch

Figure 16. Resist image simulation and exposure latitude evaluation of SRAM cell – in resist, after hardmask etch, and after oxide
etch (this conference[2])

6. TOWARDS HIGH-VOLUME MANUFACTURING


The next step of the implementation of EUVL into volume manufacturing will be the installation of pre-production tools
at end users. These exposure tools will be used for EUVL infrastructure (mask and resist) development preparing full
volume production insertion in the 2011 or 2012 timeframe.

Let’s compare the introduction time to ArF immersion technology: first pre-pre-production tools were shipped to
Memory manufacturers in 2005, and actual memory production started in early 2007. This left only 2 years of
implementation and process optimization time. Taking into account that the EUVL alpha demo tools are available at 2
research centers with a broad base of customers being associated, the implementation time for EUVL from 2008 to 2011
is more than 3 years.

Moving on to volume production, higher apertures are needed in order to support the 2x and 1x nm NAND nodes,
followed by DRAM and Logic implementation. Main drive for the numerical apertures is expected to come from NAND
as happened for immersion as well.

As shown in Figure 17, 0.32-NA optics can cover at least 2 full nodes. The extension to the 1x nm nodes can be achieved
by enhanced off-axis illumination lowering the k1 factors, which then still are above the current low-k1 regime. Due to
the high k1 factor the 2x nm nodes can be covered using conventional illumination. Upto an NA of 0.4, systems can still
be designed having a 6o chief ray angle of incidence at object. Flare and wavefront aberrations need to be improved in
order to support these imaging nodes.

22
NILS resist CL EL
resolution [nm]

20 2.0 40% 12%

18
16
14
12
An BIM

ua M
An SM

s_ M
ip SM
on I M

90 IM
SM
Q PS

I
Q _B
C _B

D 0_ B
P

_P
n_
n_
v_

s
v
on

9
ua

ip
C

Figure 17. NILS based resolution analysis of a 0.32NA optical system.

Proc. of SPIE Vol. 6921 69210L-12


Figure 17 shows an aerial image based resolution analysis of a 0.32NA optics design, using conventional and potential
PSM masks and various illumination schemes. As can be seen, resolution down to 14 nm can be achieved. Next step on
the roadmap leading to resolutions around 10 nm and below will be optical designs of 0.4 NA and higher. These systems
will most likely require 8 mirrors, and potentially also obscured lens designs.

7. CONCLUSIONS
The ASML EUV alpha demo systems have shown imaging capability down to 30nm half pitch lines/spaces and contact
hole printing using conventional illumination and without using OPC on the masks. Current imaging performance
appears limited by the performance of the resists that were used. This work has led to the demonstration of the world’s
first full field devices including an EUV exposure for a metal layer. In addition, we have shipped a fully functional Sn
discharge produced plasma source that operates at ~4W in-band EUV output power.

ACKNOWLEDGEMENTS
The authors would like to emphasize that the work presented here has been a team effort, with contributions by a large
number of people in various organizations. The following parties are especially acknowledged for their contributions:
Zeiss SMT AG, Philips, TNO TPD, and Philips Extreme UV GmbH. SEMATECH North is gratefully acknowledged for
providing SEM access, and Rohm&Haas and FUJIFILM for providing resist material.

REFERENCES
[1] B. La Fontaine, et al., “The use of EUV lithography to produce demonstration devices”, this conference (2008).
[2] G.F Lorusso, et al., “Imaging performance of the EUV alpha demo tool at IMEC”, this conference (2008).
[3] N. Harned et al. “EUV lithography with the Alpha Demo Tools: status and challenges”, SPIE Symposium on
Emerging Lithographic Technologies XI, (2007).
[4] H. Meiling, H. Meijer, V. Banine, R. Moors, R. Groeneveld, H.J. Voorma, U. Mickan, B. Wolschrijn, B. Mertens,
G.van Baars, P. Kürz, and N. Harned, “First performance results of the ASML alpha demo tools”, SPIE Symposium
on Emerging Lithographic Technologies X, Vol. 6151 (2006).
[5] H. Meiling, V. Banine, N. Harned, B. Blum, P. Kürz, and H.Meijer, “Development of the ASML EUV alpha demo
tool”, SPIE Symposium on Emerging Lithographic Technologies IX, Vol. 5751, p. 90 (2005).
[6] H. Meiling, V. Banine, P. Kürz, and N. Harned, “Progress in the ASML EUV program”, SPIE Symposium on
Emerging Lithographic Technologies VIII, Vol. 5374, p. 31 (2004).
[7] H. Meiling, V. Banine, P. Kürz, B. Blum, G.J. Heerens, and N. Harned, “The EUV Program at ASML: an update”,
SPIE Symposium on Emerging Lithographic Technologies VII, Vol. 5037, p. 24 (2003).
[8] H. Meiling, J.P.H. Benschop, R. Hartman, P. Kürz, P. Høghøj, R. Geyl, and N. Harned, “EXTATIC, ASML’s
α-tool development for EUVL”, SPIE Symposium on Emerging Lithographic Technologies VI, Vol. 4688, p. 52
(2002).
[9] H. Meiling, J.P.H. Benschop, U. Dinger, and P. Kürz, “Progress of the EUVL alpha tool," SPIE Symposium on
Emerging Lithographic Technologies V, Vol. 4343, p. 38 (2001).

Proc. of SPIE Vol. 6921 69210L-13

View publication stats

You might also like