Zant Ye 2004
Zant Ye 2004
Zant Ye 2004
Abstract
The progressively decreasing feature size of the circuit components has tremendously increased the need for
global surface planarization of the various thin film layers that constitute the integrated circuit (IC). Global
planarization, being one of the major solutions to meet the demands of the industry, needs to be achieved following
the most efficient polishing procedure. Chemical mechanical polishing (CMP) is the planarization method that has
been selected by the semiconductor industry today. CMP, an ancient process used for glass polishing, was adopted first
as a microelectronic fabrication process by IBM in the 80 s for SiO2 polishing. To achieve efficient planarization at
miniaturized device dimensions, there is a need for a better understanding of the physics, chemistry and the complex
interplay of tribo-mechanical phenomena occurring at the interface of the pad and wafer in presence of the fluid slurry
medium. In spite of the fact that CMP research has grown by leaps and bounds, there are some teething problems
associated with CMP process such as delamination, microscratches, dishing, erosion, corrosion, inefficient post-CMP
clean, etc.; research on which is still developing. The fundamental understanding of the CMP is highly necessary to
characterize, optimize and model the process. The CMP process is ready to make a positive impact on 30% of the US$
135 billion global semiconductor market. This paper presents an overview of CMP process in general, the science and
mechanism of polishing, different metal and dielectric CMP processes. The impact of consumables on the CMP
process, post-CMP cleaning, modeling of different CMP processes as well as the future trends are also discussed.
# 2004 Elsevier B.V. All rights reserved.
Keywords: Chemical mechanical planarization (CMP); Low-k; Copper; Polishing pad; Slurry; CMP equipment;
Planarization; Tribology; CMP defects; CMP model
1. Introduction
The relentless competitor and customer driven demand for increased circuit density, functionality
and versatility has led to evolutionary and revolutionary advances in the ‘‘front end’’ of the chip
manufacturing line where the circuit elements are fabricated, and the ‘‘back end’’ where these
elements are appropriately wired within the integrated circuit (IC) [1]. Chip interconnections, or
‘‘interconnects,’’ serve as local and global wiring, connecting circuit elements and distributing power
[2]. To incorporate and accommodate the improvements such as decreased feature size, increased
device speed and more intricate designs, research in the ‘back end of the line’ (BEOL) processes has
* Corresponding author. Tel.: +1 813 974 3942; fax: +1 813 974 3610.
E-mail address: akumar@eng.usf.edu (A. Kumar).
0927-796X/$ – see front matter # 2004 Elsevier B.V. All rights reserved.
doi:10.1016/j.mser.2004.06.002
90 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 1. Scanning electron micrographs of cross-section of the structures fabricated by BEOL technology: (a) BEOL structure
of 0.5 mm CMOS logic device and (b) stacked contacts and vias [1,5].
become equally important as the development of the ‘front end of line’ (FEOL) processes to reduce
gate oxide thickness and channel length. Fig. 1(a and b) shows the multilevel interconnect structure
which is fabricated using the BEOL processes. The current viable technologies and future trends in
scaling bipolar and CMOS transistor fabrication and FEOL technologies have been discussed at length
by Taur et al. [3].
Over the last 20 years, circuit density has increased by a factor of approximately 104 (Fig. 2),
while cost has constantly decreased [e.g., the historical 27% per year decline in price per bit for
dynamic random access memories (DRAMs)] [3]. The trend is expected to continue in the future even
as 65 nm processes are set for production in 2005 [4]. While recent path breaking innovations in the
field of lithography and patterning [7–9] have brought about progressive device scaling, the
Fig. 3. Chronology of key interconnect technology introduction through the years. LM denotes levels of metallization [1].
At the outset, the CMOS device structure had multiple isolated devices connected by single level
of interconnect wiring. Scaling down of the device was very effective in achieving the goals of
increased device density, functional complexity and performance. However, scaling down of the
devices became less profitable, and speed and complexity were dependant on the characteristics of
interconnects that wired the devices [10]. With the single level metallization scheme the total area
occupied by the wiring on the chip significantly increased with the increase in the active density on the
chip. Keyes [11] cited an example of a bipolar chip with a gate count of 1500 gates and a chip area of
0.29 cm2, fabricated using a single level metal with a pitch of 6.5 mm. The total wiring area occupied
by the metal was 0.26 cm2, which was about 90% of the surface area of the chip.
The total time taken by the voltage at one end of the metal line to reach to 63% of the total value
of the step input applied at the other end is known as the interconnect delay and this is due to resistance
of the interconnect wiring metal (R) and the interlayer dielectric capacitance (C) [12]. The resistance
of the line is given by
l
R¼r (1)
wd
where r is the resistivity of the wiring material, l, w, d, t are the length, width thickness of the wiring
material and time for current propagation, respectively. The capacitance of the line is given by
wl
C¼e (2)
t
The total RC delay can be given by
l wl l2
RC ¼ r e ¼ re (3)
wd t td
Thus, it can be seen from Eq. (3) that RC delay is independent of the line width and further scaling
of line width translates in to reduction of IC line thickness which in turn increases the RC delay. Other
factors such as parasitic capacitances and cross-talk become dominant for sub 0.5 mm integrated
circuits. Apart from incorporating metals of low resistivity, and interlayer dielectrics of lower
dielectric constant, forming multilevel metallization schemes where different levels of metal inter-
connections are isolated by dielectrics and are connected by vertical vias are some of the measures
essentially taken to reduce this RC time delay. Table 1 calculates the simple RC time constants
calculated for a few metals of given Rs (sheet resistance) and 1 mm length on 1 mm thick SiO2 [12].
The increasing in the levels of the metallization lines means that packing density need not keep
pace with the device density and the minimum metal line feature does not have to scale with the same
pace as the gate width. The foremost reason behind the implementation of multilevel metallization
schemes is the reduction in the length of the metal lines there by reducing the RC delay sizably
(Eq. (3)) and allowing direct routing of the active devices. In places where metal wiring length cannot
be reduced, routing can be done at the upper levels without reducing the metal line width thus reducing
the RC delay due to the higher surface area. It must be noted that Eq. (3) takes in to account only the
line to ground capacitance and does not take in to account the capacitance between adjacent metal
lines. The line-to-line capacitance is negligible for wide isolated lines but is significantly large in any
sub 3 mm interconnect regime. In sub 0.5 mm the line to line capacitance dominates, there by
increasing the RC time delay significantly with scaling. As seen from Fig. 4, there is a dramatic
increase in RC time delay in sub 0.5 mm feature size interconnect lines. Starting with two levels of
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 93
Table 1
Interconnection delay (RC) in silicon VLSI chip
Metal Bulk resistivity Poly crystalline film Film Rs Delaya
(mV cm) resistivity (mV cm) thickness (Å) (V/square) (ps/mm)
Polysilicon – 1000 5000 20 690
CoSi2 10 15 2500 0.6 21
MoSi2 35 100 2500 4 138
TaSi2 45 55 2500 2.2 76
TiSi2 13 15 2500 0.6 21
WSi2 25 70 2500 2.8 97
W 5.65 8–10 2500 0.32–0.4 11–14
Mo 5.2 8–10 2500 0.32–0.4 11–14
Al 2.65 2.7 2500 0.11 4
Cu 1.67 2.0 2500 0.08 3
a
Delay = RC = 34.5 Rs (ps/mm) for 1 mm length conductor on 1 mm thick SiO2.
metallization, the levels of metallization have increased up to 8 by 2001 [13]. The future trends in the
levels of metallization can be seen in Fig. 5.
The design and layout of interconnect lines is done using the numerous analytical and numerical
techniques available. Various techniques have been proposed to investigate the time domain and pulse
Fig. 6. Chart showing decreases in intermediate interconnect wiring pitch for future generation microelectronic devices
[13,14].
propagation characteristics of parallel coupled lossless and lossy lines used to model the interconnect
lines in the high speed USLI circuits [15,16]. These techniques include method of characteristics with
necessary modifications to incorporate frequency dependant losses [16–18] and congruent modeling
techniques where an attempt is made to model the interconnect systems in terms of lumped and
distributed circuit elements in computer aided design programs such as SPICE and CADENCE
[15,16]. Further details of the design aspects are beyond the scope of this paper.
It is widely accepted that the minimum feature size of the devices on the chip also implies the
decrease in the intermediate pitch of the interconnect wring that connects these active devices (Fig. 6)
[10].
With the decreasing intermediate wiring pitch, non-planarized surface topography results in
several processing difficulties. The irregular surface causes a hindrance in conformal coating of the
photoresist and efficient pattern transfer with contact lithography. The anomalies in the surface cause
the variation of the thickness in fine line widths (sub 0.5 mm) depending upon photo resist thickness.
Effectively planarized surface has enormous amount of benefits such as (a) higher photolithography
and dry etch yields; (b) elimination of step coverage concerns; (c) minimization of prior level defects;
(d) elimination of contact interruption, undesired contacts and electro-migration effects; (e) reduction
of high contact resistance and inhomogeneous metallization layer thickness; and (f) limitation in the
stacking height of metallization layers. Fig. 7(a and b) shows a comparison between planarized and
non-planarized surface topography.
Shallow trench isolation (STI) has become a key technology for device isolation in recent times
[20,21]. The importance and the need for shallow trench isolation have been discussed by Wolf [22].
The method comprises of making a shallow trench on a silicon wafer, depositing SiO thereon, and then
planarizing with a chemical mechanical polishing (CMP) process. The method can separate elements
within a much narrower area, and shows much better performance than the conventional local
oxidation of silicon (LOCOS) method, which causes bird’s beak structures [23].
The details of fabrication of STI structures have been elaborately given discussed Jeong et al.
[24]. Until now, a complicated reverse moat etch process had to be used in the absence of sufficiently
selective slurries for SiO to SiN polishing. Using an etch process, the high-density moat regions can be
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 95
Fig. 7. (a) Schematic of a non-planarized and (b) planarized MLM structure [19].
reduced to an acceptable level, and therefore the chip or wafer level polishing uniformity can be
greatly enhanced. If direct CMP without the reverse moat etch process was applied with conventional
low selectivity slurries, damage might occur to active regions in the case of excessive CMP, whereas,
in the case of insufficient CMP, nitride residues might remain in the active regions after the nitride strip
process due to oxide residues [20–25]. The schematic representation of the STI structure fabrication
reported by Kim and Seo is shown in Fig. 8 [26].
The process of fabrication of STI structures is still under considerable research [29–30]. One of
the main areas of interest is development of silica and ceria-based high selectivity slurries (HSS) [24]
with a high polishing selectivity for silicon oxide and silicon nitride [25,26]. There is considerable
research currently underway in the STI–CMP aspects such as effective and in situ end point detection
Fig. 8. Schematic of a processes sequence of direct STI CMP without reverse moat [25].
96 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 9. Comparison between the subtractive etch (conventional approach) and the damascene approach for metallization.
[20–25], reproducibility [24], defect analysis [27,28], pattern density effects [26], etc. The STI CMP
process has also been extensively modeled [31–33].
As seen in Fig. 9, in the conventional metallization technique, the metal deposited on top of the
dielectric is positively patterned with photoresist. The metal is then etched out and dielectric material
is deposited on top of the metal using processes such spin coating or chemical vapor deposition (CVD)
[34]. The dielectric is then planarized and subsequently to make a multilevel metallization structure,
more dielectric is deposited on top of the planar dielectric and the process is repeated. In case of the
damascene process, the dielectric is negatively patterned, and then etched to form a pattern that is then
filled with metal. A seed layer of metal is deposited using physical vapor deposition (PVD).
Depending upon the metal, a barrier layer of metal is deposited before the seed layer deposition
[35]. The metal is then electroplated on top of the seed layer. The excessive metal is polished off and
planarized using the CMP process. For the purpose of making multilevel metallization structures,
dielectric is then spin coated or CVD deposited and entire procedure is repeated.
In actual damascene process, a variety of integration sequences can be and need to be applied in
order to etch vias and lines into the inter-level dielectric (ILD) [36]. The due considerations need to be
given to via tapering [36] and ease of lithography. The integration of low-k materials into dual
damascene processes is challenging due to the variety of boundary conditions such as compatibility
with metal CMP, metal fill, resist strip and dielectric RIE. Using a PE-CVD SiO2 cap on top of
hydrogen silsesquioxane (HSQ) spin on glass as an ILD solves integration issues related to CMP, resist
strip and mechanical stability. The details of Cu and low-k material implementation in dual damascene
structure have been discussed in Section 4.
Fig. 10 shows the different degrees of global and local surface planarity [37]. Techniques such a
spin on deposition (SOD), reflow of boron phosphorous silicate glass (BPSG), spin etch planarization
(SEP), reactive ion etching and etch back (RIE EB), SOD + EB have been discussed in this section.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 97
These are the prominent of several competing technologies presently being used to achieve local and
global planarization.
Fig. 12. Schematic of SEP chamber showing a cut-away view of the process pot, four chambers and chuck. The chemical
dispense arm, drain lines and exhaust ports also are indicated [43].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 99
been demonstrated on any other materials such as ceramics and insulators. The pattern dependence
and etch anisotropy are yet to be further investigated. CMP may be still needed after SEP process to
remove pattern dependent bumps on the surface of the wafer. Efficient end point detection mechan-
isms, in addition to the optical end point detection mentioned by Levert et al. have to be developed for
the process. Therefore, for implementation of the SEP further studies, characterization and optimiza-
tion is necessary.
Fig. 13 shows a schematic of surface smoothening and partial planarization of dielectric with RIE
and EB using high-density plasma (HDP) processing. Though RIE is a highly selective process and
can be used to etch multiple layers of dielectric or metal, the process needs to be highly optimized in
order to avoid small spikes and anomalies on the surface. The artifacts of lithography process can also
have an impact on the surface of the wafer. There might be a need for subsequent planarization in order
to reduce the surface roughness after etching. The RIE process involves high-energy ion bombardment
on the surface of the wafer. This can be extremely dangerous for the device itself and can lead to failure
and reliability problems. Though excellent local planarization may be achieved using RIE EB,
achievement of global planarization for multilevel thin film structures is still perceived as a problem
using RIE EB.
well as excellent global planarization. The plasma enhanced chemical vapor deposited oxides have
limited capability of gap filling and are restricted in their gap filling ability below patterns having
0.3-mm feature size. High-density plasma deposited oxides have acceptable gap filling capabilities;
however, they produce variation in surface topography or local as well as global level. Even though
spin on deposited (SOD) doped and undoped oxides and polymeric materials have acceptable ability
for gap filling, CMP is the only technique, which produces excellent local and global planarity of these
materials. The details and various aspects of CMP are discussed subsequently in different sections of
this paper.
Table 2
Benefits of CMP
Benefits Remarks
Planarization Achieves global planarization
Planarize different materials Wide range of wafer surfaces can be planarized
Planarize multimaterial surfaces Useful for planarizing multiple materials during the same polish step
Reduce severe topography Reduces severe topography to allow fabrication with tighter design rules an
additional interconnection levels
Alternative method of metal patterning Provides an alternate means of patterning metal, eliminating the need to
plasma etch, difficult to etch metals and alloys
Improved metal step coverage Improves metal step coverage due to reduction in topography
Increased IC reliability Contributes to increasing IC reliability, speed, yield (lower defect density)
of sub 0.5 mm and circuits
Reduce defects CMP is a subtractive process and can remove surface defects
No hazardous gases Does a not use hazardous gas common in dry etch process
The process of CMP was initially developed and implemented for planarization of SiO2 which is
used as interlayer dielectric in multilevel metallization scheme. The initial developmental focus of
CMP was oxide planarization [55]. Tungsten is used as an interconnect plug to the source, drain, and
gates of transistors in Si microprocessor chips. Initially Ti and TiN barrier layers are deposited,
followed by chemical vapor deposition of W to fill the contact vias. Going ahead from achieving local
and global planarization of SiO2, removal of excessive tungsten from the horizontal surfaces on the
wafer pattern proved to be an asset for subsequent Al metallization [56–58]. Hence CMP was
developed with a two-fold approach of (1) planarizing oxide and (2) removing the via fill metal from
the horizontal surfaces. The major applications of CMP are given in Table 4.
Along with its successful implementation for the achievement of the above-mentioned objectives,
CMP has now extended to (a) polishing of different metals like Al, Cu, Pt, Au, Ti, Ta, etc.; (b)
polishing of different insulators like SiO2, Si3N4, various low-k dielectrics, doped and undoped oxides
of silicon; (c) polysilicon; (d) ceramics like SiC, TiN, TaN, etc.; (e) multichip modules; (f) packaging;
Table 3
Disadvantages of CMP
Disadvantages Remarks
New technology CMP is a new technology for wafer planarization. There is relatively
poor control over the process variables with narrow process latitude
New defects New types of defects from CMP can affect die yield. These defects
become more critical for sub-0.25 mm feature sizes
Need for additional process CMP requires additional process development for process control and
development metrology. An example is the endpoint of CMP is difficult to control for
desired thickness
Cost of ownership is high CMP is expensive to operate because of costly equipment and consumables.
CMP processes materials require high maintenance and frequent
replacements of chemicals and parts
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 103
Table 4
Applications of chemical mechanical polishing [56]
Materials Application
Metal
Al Interconnection
Cu Interconnection
Ta Diffusion barrier/adhesion promoter
Ti Diffusion barrier/adhesion promoter
TiN, TiNxCy Diffusion barrier/adhesion promoter
W Interconnection e-emitter
Dielectric
Cu-alloys Interconnection
Al-alloys Interconnection
Polysilicon Gate/interconnect
SiO2 ILD
BPSG ILD
PSG ILD
Polymers ILD
Si3N4 or SiOxNy Passivation layer, hard mask
Other
Aerogels ILD
ITO Flat panel
High k dielectrics Packaging
High Tc superconductors Interconnection/packaging
Optoelectronic materials Optoelectronics
Plastics, ceramics Packaging
Silicon on insulator (SOI) Advanced device/circuits
(g) optoelectronic components; (f) flat panel displays; (h) microelectromechanical systems (MEMS);
(i) magnetic recording heads and CD read write drives [56].
1.11. Overview
The paper begins with the need for device scaling and implementation of novel materials in the
present day semiconductor industry. The importance of planarization and the various available
planarization techniques with emphasis on CMP have been discussed in Section 1. Section 2 gives
an overview of the CMP process in general and gives the background of the process and equipment
used to carry out the process. The different types of equipment used for CMP process and innovations
there in have been discussed in this section. The CMP consumables like polishing pad, slurry, retaining
rings, etc. have been discussed in Section 3. The novel slurry types such as particle less slurry and
nanoparticle slurry and new improvements in the polishing pads have been dealt with in this section.
Section 4 elaborates the various issues in the polishing of dielectrics such as silicon di oxide, various
doped and undoped oxides and different low-k materials. The section also briefly deals with modeling
aspect of dielectric CMP. The polishing of Cu is described in Section 5. The post-CMP clean process
of wafers after dielectric and metal polishing step has been discussed in Section 6. Novel post-CMP
clean process and materials used for these processes have been discussed in the section. The final
section concludes with the gist of the paper and gives an idea of the future trends that the CMP process
development is expected to follow.
104 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
2.1.1. History
The modern day application of CMP process in the semiconductor industry was for polishing the
surface raw silicon wafers to achieve a global flatness over raw silicon wafers. After sawing, the single
crystal silicon rod and removing the mechanically damaged surfaces, the wafer needs to be flattened
globally and a uniform scratch free surface needs to be made available for fabrication of semi-
conductor devices. The idea of using colloidal silica, then made by Monsanto, instead of standard
abrasives, was developed by Bob Walsh in 1961 [59] and thus, the first wafers polished using CMP
were commercially available in the early 1960s [59,60]. Before its implementation in polishing raw
single crystal silicon wafers, the process of CMP was traditionally used in glass polishing. One of the
most wide spread application of CMP outside the semiconductor industry is optical lens polishing. In
fact, the first machinery used by Monsanto was very similar to the commercial machine used in the
optical industry. The first semiconductor CMP machine was an innovation of the optical lens polishing
machine. The proper polishing abrasives in presence of the slurry chemicals were used to achieve a
superior degree of precision and flatness to meet the demands of the semiconductor industry. By
supplementing mechanical polishing with high hardness abrasives such as silica in an alkaline
medium, there are significant gains in material removal and reduction the process time.
A further improvement to the CMP process was made at IBM in the late seventies and early 80 s.
The new process was faster than the previous silica-based polishing method and resulted in ultra flat,
ultra smooth surface to meet the stringent requirements of the IC industry [61]. The slurry was later
tailored to reduce defects and surface non-planarity introduced by the etching and deposition
processes.
The IBM process was then applied for trench isolation by the late 1980s in Japan for various logic
and DRAM devices. There was wide spread industrial implementation of the different variants of the
CMP by companies such as NEC, National Semiconductor, Hitachi, etc. This led to the introduction of
the first commercial polisher designed specifically for CMP by Cybeg in Japan in 1988. Later,
International SEMATECH identified CMP as a technology critical for the future of IC manufacturing
and launched a project to develop competitive, advanced CMP tools in the US [62].
roadmap [14] materials with dielectric constant 2.2 will be integrated in the IC by year 2007 (Table 5).
The difference between the polishing rates of copper and the low-k materials available will
significantly affect post-CMP surface planarity. New processes must be developed to address the
problems associated with this non-uniform polishing phenomenon as well as the complexity of the
materials structures. Also, there needs to be a marked improvement in slurry selectivity for accurate
Table 5
Tabulation of interconnect international technology roadmap for semiconductors [14]
106 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
end point detection when the constituent layers of the damascene structure namely, metal, hard mask,
cap layer, barrier layer and dielectric are polished [64]. Further more, it is necessary to explore the
niche of the CMP process in shallow trench isolation and other applications such as backside polishing
and the fabrication of micro-electro-mechanical systems (MEMS). The CMP process there must be
integrated horizontally and vertically to achieve high thorough put and performance. In MEMS
applications horizontal integration ensures reliability and good performance of a specific CMP process
run. The development of new polishing pads and pad architecture, novel slurries, new metrology
techniques, etc. comes with in the scope of horizontal CMP integration. Vertical integration ensures
success of every successive CMP operation. This includes the integration of the upstream processes
such as Cu/barrier deposition and etching and downstream processes such as ILD deposition and
lithography. This opens a wide scope for research for further optimization and development of Cu
CMP process.
The recent developments in the semiconductor industry described previously imply that CMP is
fast becoming the established technology for planarizing metal and interlayer dielectrics of multilevel
sub-0.5 mm devices. Along with the rapid growth of CMP and its application for polishing various
materials, have come a variety of slurries, different pads, complex process recipes, more complex
slurry mixing and distribution systems and an increase in the volume of wastewater. Polishing of
different materials and customized needs of the various semiconductor industries have given birth of
more complex CMP equipment with different process dynamics such as linear, orbital and fixed head
machines. Reliable filtration and waste distribution are also required to avoid hazardous environmental
implications, as the increase in the number of CMP process steps have given rise to a large amount of
disposable slurry waste. The schematic of the industrial CMP process (isolated from the other process
in the fabrication line) is as shown in Fig. 16.
As seen from Fig. 16, the slurry is mixed in a tow at a central location from where it is distributed
to the various CMP machines in the fabrication. The slurry that not used for feeding any machines is
then returned back to the tow for recirculation. The slurry that is used for CMP process is later disposed
Fig. 16. Schematic of the industrial CMP process isolated from the other process.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 107
off. The wafer which is dry from the previous process is loaded in the CMP process equipment where it
undergoes polishing and then cleaning. It is then dried in the CMP cleaning station (which may be
integrated with the polisher). Most machines follow this dry in dry out methodology.
wastage. Although CMP slurries are composed of very fine particles up to 200 nm (0.2 mm), ‘‘large’’
particles of 1–3 mm and greater are often present in slurries at the point of dispense. Such particles can
be formed as a result of agglomeration or the presence of foreign material. Metal CMP slurries, in
particular, are prone to formation of aggregates. The agglomerated slurry particles often cause
numerous defects in the wafer during CMP. Microscratching is the most prominent defect which
occurs mainly due the agglomerated particles present in the slurry delivered to the machine as well as
such particles embedded in the pads [67]. This makes the continuous mixing of the slurry in the tow
absolutely imperative. In order to prevent the particle agglomeration [67] during the distribution stage,
dispense filters are installed on each of the machine which filter out the agglomerated particles before
slurry delivery. Care must be taken that the filtration of the agglomerated particles does not change the
particles distribution and concentration of the slurry. Most often, a series of filters are used in order to
minimize the drop in the slurry pressure and flow. The slurry after being used for the actual CMP
process in the tool is then disposed off using appropriate methods and environmental damage is
restricted [68].
2.2.6.1. Multi-wafer per platen polishers. There is a natural increase in the throughput due to the
increase in the number of polishing heads per platen. However, this approach presents several
challenges. The most severe issue is the quantity of the wafer put at risk at one time. If one wafer
breaks, the pieces can damage several wafers at one time. The more subtle issue is that of load
balancing. As long as all the carriers on the platen are loaded with wafers, polishing can be consistent.
110 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
However in certain cases, like application specific integrated circuit (ASIC) fabrication where in just
one or two wafers need to be polished at one time, this issue is of considerable importance. The
schematic of multi wafer per platen polisher is shown in Fig. 20.
2.2.6.2. Sequential rotational systems. Another approach usually adopted to avoid the risk of
damaging more number of wafers due to pad anomalies is sequential polishing of wafers on different
platens. This approach involves multi-step polishing wherein the first platen is used for bulk material
removal without particular regard to superficial surface defects, the second platen is used for global
planarization while the third platen is used to for a fine buff to get a defect free mirror like surface.
However, synchronization of all these processes is an issue that the process engineer needs to tackle
with this approach. This implies the process speed is limited by the slowest process. This is especially
a problem when polishing metals such as copper as the corrosion might results due to the wafer staying
wet in the slurry for a longer duration of time [79,80]. Also, small damage to the pad can result in
damage to all wafers in the sequential polishing run and it is sometimes hard to determine the damage
on the pad and hence, all pads need to be changed. In case of tool failure, all the processes need to be
stopped until repairs and tool utilization is limited due to tool inflexibility. Fig. 21 shows an illustration
of sequential rotational CMP polisher.
2.2.7.1. Sequential linear polishers. The sequential linear third generation polishers are generally used
in CMP for STI and rarely in ILD structures. The polishers have a moving belt on which the wafer is
pressed device side down and rotated slowly about the carrier axis. The belt which is held in tension
between rollers moves rapidly [88]. This type of polisher can achieve high removal rate owing to high
belt speed and can achieve faster planarization as for STI application where large amount of material
needs to be removed from a relatively lower pattern density structure. The low down force and high
relative velocity polishing regime limits the damage to the film [13]. The linear polishers require new set
and architecture of polishing pads which comprise of single polyurethane belt without foam or felt (sub-
pad). The concurrent polishing pad conditioning is obtained by means of a novel polishing pad design
where polishing pads have to be mounted in a cylindrical configuration and not on a the conventional flat
surface configuration [89]. A special polishing pad conditioner is provided to refurbish the polishing pad
[90]. With more and more publication of data showing improved CMP performance at low down force
and high linear velocity, this type of polisher is finding increasing acceptance in the semiconductor
processing industry. Fig. 22 shows a photograph of a sequential linear polisher.
2.2.7.2. Orbital polishers. Several CMP tool concepts have been developed based on orbital motion.
Some orbit the carrier with rotating the carrier [91–93] while others orbit the platen while rotating the
Fig. 22. Illustration of the polishing action during linear polishing action [97].
112 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
carrier [94]. Some of the polishers also involve arbitrary non rotational motion on a fixed polishing
pad. In these types of polishers the fundamental principle of relative motion between the wafer and pad
to remove the material is used, however, unlike the first generation polishers, slurry is delivered
directly at the pad area used for polishing thus improving slurry utilization efficiency. The schematic
of the orbital polisher is shown in Fig. 23. The preferred mode of operation of orbital polishers is low
down force with high relative velocity. With the recent popularity of the contact retaining ring method
for polishing, the pad remains compressed at the edges of the wafer and reduces the area of the die lost
due to edge exclusion [95]. The planarization capabilities of these tools are known to be better than the
first and second generation polishers. These of machines are also known for their small down times due
to the rapid change individual polishing heads.
2.2.7.3. Rotary inverted. In recent years, Nikon Inc. has developed high-precision CMP systems
applying proprietary technology based on its long experience in lens polishing and optical measurement
[96]. The system’s special face-up polishing uses small pads applied at very low pressure and high-speed
rotation. The compact polishing pad as seen in (Fig. 24a) enables high-speed rotation. Its light-weight,
less pad deformation feature allows superior planarity. These features are especially advantageous ultra
low-k polishing process. Through the compact polishing pad the slurry is supplied onto polishing area of
the wafer efficiently. This enables less slurry consumption compared to the conventional polishing
equipment (Fig. 24b). Face-up polishing at the polishing station enables continuous optical end-point
Fig. 24. (a) Set up of multi wafer rotary inverted CMP polisher and (b) polishing action and end point detection [96].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 113
measurement. It helps improve S/N ratio while minimizing slurry effect for end-point detection.
Mounting of wafer and pad are also convenient with this system. In spite of some initial excitement
about this system, it is yet to have a proven track record in the semiconductor fabrication environment.
2.2.7.4. Pad feed polishers. The pad feed polishers are based on a recently developed pad type that is
held in rolls. These polishing pads are fed to the wafer polishing tables, the wafer is polished, pad is
conditioned and then the pad moves further (Fig. 25). This methodology is especially useful for pads
that have very repeatable first polish performance and their characteristics either degrade or change
with subsequent polishing runs. This polisher unlike others does not have to be turned off for changing
the pad there by maximizing the equipment utilization time [97,98]. This technology is still in its
nascent stage and various industrial giants continue to develop it even further in order to make a
positive impact on the CMP polisher market. The details about the fixed abrasive pad that is mostly
used in this type of polishers will be discussed in the consumables section of the paper.
The wafer–pad interfacial chemical reactions in the presence of the slurry for oxide and copper have
been discussed briefly before and will be discussed in detail in the respective sections. These reactions are
characteristic to the respective materials of this three-body abrasion system. The force field analysis on
the pad and wafer during the CMP process and summation of the input and output variables that affect the
CMP process have been discussed in this subsection. The contact mechanics and mechanics of material
removal, fluid dynamics of the slurry, effect of heat transfer, modeling and simulations of the various
parameters affecting the CMP output will be discussed in the modeling section.
R ¼ KPV (2.1)
114 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 26. Schematic of the force field on the wafer and the pad during CMP [37,70].
where R denotes the polish rate, P is the applied downward pressure, V is the linear velocity of the
wafer relative to the polishing pad, and K is a proportionality constant, called the Preston coefficient.
As seen in Fig. 26, rcc is the linear distance between the centers of the wafer carrier and the platen,
which is mostly assumed to be constant, rH (H stands for head) is the positional vector at any point Q
on the wafer from the center of the carrier and this varies with the position of the point Q, rth is the
positional vector of any point Q on the wafer from the center of the platen (this distance varies with the
location of Q). VQ is the velocity of any point Q on the wafer. VT and VH are the linear velocities of the
table (platen) and wafer head. vT, vH are the rotational velocities of the platen and wafer carrier.
Assuming that vT 6¼ vH velocity VQ will vary from point to point over the wafer. The variation in
velocity will call cause changes in the removal rate across the wafer in accordance with the Preston’s
equation.
rth ¼ rcc þ rH (2.2)
Parameters and variables that govern the CMP process have been illustrated in Fig. 28.
The CMP process combines mechanical and chemical removal mechanisms in a synergistic
effect. This synergy has been the subject of many studies, but focus in the past has been primarily on
mechanical effects due to the difficulty of identifying the reaction mechanisms of the chemical effect.
However, mechanical effects alone cannot provide the type of polishing necessary for IC manufactur-
ing. Chemical effects contribute to the increased global planarity and reduced micro roughness
required for successful IC fabrication. As discussed in the earlier section, the fundamental basis for
designing any CMP process module, the force field analysis of the wafer–pad–slurry abrasion system
is made. The variations in the machine parameters to obtain optimal results are the first adjustments
made to refine the CMP process. Until recently, slurry flow and slurry flow rate was not given much
importance variation of machine parameters [65], however, with the ever-growing demands for
116 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
enhanced yield and low defects, and also with the knowledge of the heat transfer behavior of the slurry
[100], the slurry flow is also brought in the CMP process control equation. This section discusses the
broader impact of these machine parameters on the CMP process. A better understanding on the effect
of machine parameters on the CMP process can be obtained by performing repetitive CMP
experiments on a prototype CMP tester in which process data is monitored in situ. CETR bench
top CMP tester has been used by Sikder et al. for studying the CMP process in detail. The details of the
CMP tester can be obtained in literature [102]. The polishing tests on the CETR tribometer were
performed on (1 in. 1 in.) PECVD SiO2 using Klebesol 1501 (Rodel Inc., DE) colloidal silica slurry
(pH 10–11) on an IC 1000/IV pad with linear velocity 5 mm/s and a radial distance of 50 2.5 mm.
The down force used was 4 psi and the platen rotation was 150 rpm. Influence of machine parameters
such as down force, relative velocity, slurry flow on the acoustic emission (AE), coefficient of friction
(COF) and removal rate (RR) was observed. For removal rate calculations, thickness of oxide was
measured at nine points using the ellipsometer. The wear rate was calculated by re-measuring the
sample after polishing at nine points.
Fig. 29. Average removal rate with rpm at different psi for two sets of samples [102].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 117
Fig. 30. Average removal rate with rpm at different psi for two sets of samples [102].
related to the higher removal rate in CMP process. Fig. 32 shows the removal rate versus rpm psi and
the linear relation indicates that polishing of oxide follows Preston’s equation [99].
Fig. 31. Average removal rates with psi at different rpm for two sets of samples [102].
118 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 32. Average removal rates plotted with rpm psi. Linear relation indicates that polishing follows Preston’s equation
[103].
Table 6
Effect of slurry flow rate on the COF and AE signal for experiments performed [102]
Run # Slurry flow (ml/min) COF AE signal (arbitrary unit)
1 35 0.3977 0.4013
2 75 0.3949 0.4533
3 100 0.3932 0.4184
4 155 0.3911 0.4133
5 195 0.3888 0.4189
Fig. 33. Schematic of the positions of slurry feeding on the pad during polishing for feeding position optimization. Distance
0–1.4 = 15 mm, 0–2.5 = 30 mm, 0–3.6 = 45 mm, 0–7.9 = 45 mm and 0–8 = 25 mm [103].
Fig. 34. Average removal rate with the slurry feeding position on the lower platen position [103].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 119
removal rate due to the increased chemical action of the slurry at elevated temperature does not always
translate in to greater removal rate during the CMP process [65]. The increase in temperature makes
the viscoeleastic polyurethane pad softer, there by reducing the removal rate due to the reduction in
hardness [103]. Hence, an optimum slurry flow must be maintained during the process and should be
changed if necessary in order to strike a balance with optimum temperature for enhanced slurry action
and non-degradation of the pad [65]. Novel CMP process developers have adopted a new recipe to
change the slurry flow during the CMP process for optimization of slurry utility and maintaining the
temperature during the CMP process [104,105].
3.1. Introduction
The process of CMP has gone thorough as lot of evolution from first being used just for silicon
dioxide planarization to the present day planarization applications in pre-metal dielectric (PMD), ILD,
STI, metal and gate oxide, etc. The CMP consumables market takes a huge chunk of the present billion
dollar CMP market [106]. The research in CMP consumables (pads, slurry, retaining rings) is growing
by leaps and bounds [107]. Polishing in its simplest sense is controlled chemo-mechanical material
removal to produce a globally flat, defect free surface. This is generally done by rubbing the thin film
to be polished with generally a polymeric material, a polishing pad, in presence of the water-based
solution containing very fine suspended abrasive particles that are mostly inorganic. Slurry consists of
two major components, abrasives and solution. Depending on the material of the abrasives, the
chemistry of the slurry, and the synergy between them, each kind of slurry behaves differently [65].
The wafer is held in the carrier and is encircled by a retaining ring which presses the polishing pad
down in contact retaining ring type set up [108].
CMP is a process that is influenced to a great extent by numerous slurry parameters such as pH,
solution chemistry, charge type, concentration and size of abrasives, complexing agents, oxidizers,
buffering agents, surfactants, corrosion inhibitors, etc. [56,106,109,110]. The specific and proprietary
nature of the slurry manufacture makes it difficult to elucidate the exact effects of slurry on the
particular thin films that are polished in it. The slurry interactions at the pad wafer interface are
probably therefore, the least understood mechanisms in entire semiconductor fabrication process
technology [101]. An ideal CMP slurry should be able to achieve high removal rate, excellent global
planarization, should prevent corrosion (in case of metal, especially Cu), good surface finish, low
defectivity and high selectivity. The typical design criteria for slurry are given in Fig. 35. These criteria
have been broadly identified after survey of literature [111–117].
damage the surface topography. To minimize the frictional forces, the removal rate needs to be
compromised and thus the process runs for a longer period of time. Also variation in local polishing
pressure leads to variable removal rates within the wafer, which seriously compromises global
planarization [101]. Excessive chemical etching adversely affects surface planarity and induces defects
on the surface such as corrosion [118]. The key to a good polishing step is achievement of synergy
between chemical etching and mechanical planarization with minimization of both the phenomena. For
this purpose there is a need for the formation of a passivation layer at the interface of the wafer and pad as
seen in Fig. 36. The passivation layer has to be thinner that the difference in the height between high and
low regions in order to avoid within wafer non-uniformity [101]. In case of Cu polishing, the formation of
the passivation layer is accelerated by oxidizers such as H2O2, potassium ferricynate, ferric chloride, and
ferric iodate and corrosion damage to the surface is prevented by corrosion inhibitors such as
benzotriazole (BTA) [119]. For tungsten, there is rapid formation of surface passivation layers due
to the use of peroxygen compounds and stabilizing agents [120]. The purpose of passivation layer in case
of silica polishing is to soften the surface which is inherently hard. For this purpose maintenance of
alkaline pH in most cases is sufficient [121,122]. During Ta polishing, formation of stable Ta2O5 helps in
uniform removal of material from the surface [123]. To avoid numerous surface defects, the time to
achieve the formation of thin passivation layer should be minimized.
Fig. 36. Schematic diagram of microscale and nanoscale phenomena during CMP [117].
corrosion inhibitors. Chemicals such are oxidizers and corrosion inhibitors vastly affect the reaction
rate of the slurry with similar particle nature, size and distributions. Fig. 37 shows the variation of the
reaction rate of the different slurry components on Cu when the reaction kinetics were studied using
electrochemical chronoamperometry [115,123]. It can be seen from the figure that the surface rate
kinetics reaches about 60 Å/s when Cu is immersed in DI H2O. The reaction rate increases to around
120 Å/s when 5% H2O2 is added. However upon addition of 10 mM BTA, the reaction rate came down
considerably.
3.2.2.1. Rate of surface reaction. The surface reaction is not the only contributing factor for
achievement of high removal rate during CMP. The time scale at which the passivation layer is
formed before the average time of successive particle interaction with the wafer for abrasion is also
important to produce a defect free, fast CMP process. Fig. 38 shows the electrochemical chron-
oamperometry (potentiostatic) analysis of Tungsten by first keeping the samples at cathodic potential
to avoid surface oxidation and then ‘‘anodizing’’ them. The generation of current, which corresponds
to surface reaction rate, is monitored on a millisecond scale as shown in Fig. 38. It can be seen form the
figure, that Tungsten surface quickly passivates which is conducive for mechanical removal of the
material.
Fig. 37. Variation of rate of surface layer formation in Cu with different slurry chemistry [101].
122 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
3.2.2.2. Effect of particle size, hardness and concentration. The generalized materials removal rate
(MRR) for oxide has been modeling in the literature and be expressed as shown in Eq. (3.1) [124].
MRR ¼ nVolremoved (3.1)
The variable n is number of active abrasives taking part in the process and Volremoved is the volume of
material removed by each abrasive. To estimate the total volume of material removed, it is necessary to
estimate the total area of the pad–wafer and wafer-abrasive contact. The area of active abrasive contact
is given by:
A ¼ pxd (3.2)
where A is the area of contact x is diameter of abrasive and d is the depth of indentation on the
passivating film made by the abrasive particle [125]. If one assumes elastic contact between the
particles and the surface, the indentation depth as a function of particle size is given by:
3 Papp 2=3
d¼ f (3.3)
4 2KE
where f is the particle size, K is the particle fill factor at the surface and E is the Young’s modulus of
the surface layer [101,125,126]. This equation assumes that the particles are much harder than the
surface layer. Eqs. (3.1–3.3) show that the area of contact and indentation depth increase with increase
in particle size and hardness. It is thus implied that as particle size and hardness increases the removal
rate increases. The increase in particle concentration will increase the number of active particles, there
by causing more number of indentations to the passivating film and increasing the removal rate. Fig. 39
indicates the increase in removal rate of tungsten with increase in particle size and concentration. The
details of the experiments can be obtained in the relevant literature [101].
Increase in particle size or hardness also gives rise to surface defects such as micro-scratches that
cause fatal long-term device failure. Bigger and harder particles would cause deeper micro-scratches,
which will be very difficult to eliminate even by the final buffing CMP step. The increase in particle
concentration translates in to increase in removal rate only up to a certain extent. As seen in Fig. 40
shown by Singh and Bajaj [101] and Mahajan et al. [113], the removal rate of the silica increases with
increase in particle size and concentration at low particle concentration, however after a particular
threshold for every given particle size the mechanism of removal changes and there is considerable
decrease in removal rate with increase in particle concentration. For the purpose of this experiment,
spherical monosized particles were used in slurry of pH 10. Change in material removal mechanism is
expected to be the reason of this phenomenon [123].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 123
Fig. 39. Variation of removal rate with particle size and concentration [101].
Fig. 40. Removal rate of silica with different particle size and concentration [117].
3.2.2.3. Effect of different particles type. The effect of different colloidal particles in the slurry on the
removal rate of the material has been studied by Stein et al. [127]. Potassium Iodate-based slurries (pH
4.0) buffered with potassium hydrogen phthalate (PHP) containing different colloids consisting oxides
and hydroxides of cerium and aluminum were used to polish tungsten. The details of the colloids used
are given in Table 7.
The variation in the removal with abrasives of similar hardness and size and variation of the
process temperature of slurry with similar solution chemistry and different abrasive clearly shows that
there is atomistic level interaction between abrasive chemistry and the wafer surface. Thus the surface
Table 7
Details of abrasives used to study tungsten polishing by Stein et al. [127]
Colloid Metal Manufacturer Brand name Major phases Size (Å)
3 Cerium Nyacol – Ceriamite 200
4 Aluminum Nyacol – Bohmite (AlO(OH)) 500
5 Cerium Nanophase Nanotek Ceria Ceriamite 300
6 Aluminum Nanophase Nanotech Alumina g-Al2O3 300
7 Aluminum Moyco Planar W Gibbsite (Al(OH)3) g-Al2O3 d-Al2O3 –
8 Aluminum Solution Technology MET202 Gibbsite u-Al2O3 500
124 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
of the colloids can be doctored to produce desirable CMP results such as elevated temperature due to
frictional interaction which in turn will improve the process removal rate.
Apart from being influenced by slurry particle size, particle distribution, solution chemistry,
additives such as oxidizers and complexing agents, the removal rate is also highly dependent on the
material removal mechanism during a particular polishing step. It is common knowledge that removal
mechanism of slurry for different materials varies. The details of the removal mechanism of dielectrics
and metals have been discussed elaborately in Sections 4 and 5, respectively and are also available in
literature [128–135].
3.2.2.4. Particle surface coating. Coating the surface of the particles with harder substance can
improve the removal rate while keeping the density of defects constant. The softer particles such as
alumina can be coated with a harder surface such as silica to enhance removal rate, while already
relative hard silica particles can be coated with cerium oxide particles to improve CMP defectivity
[101].
The sub micron abrasive particles for CMP slurry are generally prepared by precipitation
techniques based on controlled hydrolysis using method described by Stöber et al. [136]. The methods
involving reagents such as ethanol, aqueous ammonia, and water have been widely discussed. The
particles fabricated by these methods are dried and redispered in ethanol after ultrasonic treatment for
further coating [137]. The harder coating such as cerium dioxide is then coated on the synthesized
silica particles by techniques such as precipitation of a cerium salt like cerium nitrate or cerium sulfate
in water. The as-precipitated CeO2/SiO2 coated powders were centrifuged at high speed, washed with
water, dried and calcined. The exact process conditions for coating of silica particles with cerium
oxide have been elaborately discussed by Choi et al. [130]. Fig. 41 shows a transmission electron
micrograph of silica particle coated with ceria.
To decrease the density of defects in Cu polishing, as well as minimize the dishing erosion
damage in SiO2 and low-k materials, technique of soft abrasion assumes more importance than higher
removal rate. For this purpose, special type of slurry abrasive with polymer core and ceramic coating
(composite abrasive) has been developed by Yano et al. [138]. Fig. 42 shows the transmission electron
micrograph (TEM) of the polymer core, ceramic coated abrasives. The details of the fabrication and
separation techniques of these abrasive have been extensively published in literature [139–144].
Fig. 41. Transmission electron micrograph of silica particle coated with ceria [1021.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 125
Fig. 42. Transmission electron micrograph of: (a) polymer core SiO2 coated (diameter 230 nm) and (b) polymer core Al2O3
coated (diameter 240 nm) CMP slurry abrasives [140].
Fig. 43 shows the reduction of the dishing and erosion depth when SiO2 was polished with
composite abrasive slurry and conventional abrasive slurry. The total depth of dishing and erosion was
reduced to less than 80 nm after first step CMP and less than 70 nm after second step CMP by
composite abrasive slurry.
3.2.3. Selectivity
The difference in removal rate of one material as compared to another in a particular slurry gives
the measure of the selectivity of that slurry for those two materials. Higher the slurry selectivity, the
more effective is the end point detection for a particular CMP process step as there is a marked change
in the tribological properties of the material being polished and the under layer. Selectivity is a very
important criterion in designing any slurry. For STI, slurry used needs to act on the oxide that is being
planarized and not act on the underlying nitride. For polishing Cu, the slurry needs to act selectively on
Cu and spare the barrier layer Ta and underlying layers of silica or low-k dielectric material. Typically
slurry selectivity of 10–25 has been reported for Cu polishing [101]. The selectivity could be
considerably improved up to 1000 by introducing particle free slurry [145,146]. The reduction in
Fig. 43. Comparative dishing, erosion performance of composite abrasive slurry and conventional slurry [142].
126 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
mechanical component due to lack of abrasive implies that majority of material removal takes place
due to solution chemistry which can be made highly selective.
Slurries with high selectivity facilitate easy end point detection as the tribological properties of
the material say Cu being polished in a highly selectivity slurry are markedly different from the
properties of the barrier layer Ta or underlying silica layer when polished in the same slurry. The
difference in tribological properties can be monitored in situ using techniques such as motor current or
force and acoustic emission sensor.
The variation in coefficient of friction and acoustic emission for polishing of blanket Cu, Ta and
ultra low-k dielectric (k = 2.2) has been studied. The candidate materials have been polished in the
form of 1 in. 1 in. coupons on the bench top CMP tester (mentioned in Section 2) [102] to evaluate
the selectivity of the slurry. The slurries evaluated were: (a) Cu selective alumina particle slurry (Cu1)
(a) Cu selective particle less slurry (Cu2); (b) Ta selective slurry with colloidal abrasives (slurry Ta);
and (c) non-selective slurry (slurry Cu–Ta). Fig. 44a–d shows the variation of COF and AE at 2 psi and
different platen speeds. It can be seen from the figure that the value of COF for a particular material for
one polishing condition is unique and hence monitoring the value of COF can give an estimate of the
end point of the process. There have been improvements in the effective detection of end point in STI
polishing process when high selectivity slurry (HSS) was used and motor current method (which
basically used the friction during polishing) was employed.
Addition of specialized chemicals that can act as catalysts in the chemical interaction between
slurry and the polished material there by increasing the rate of the chemical reaction with the material
being removed considerably is a common approach for improving the selectivity of the slurry. Tetra-
methyl ammonium hydrate (TMAH) can be added to Cu slurries to considerably decrease silica
polishing rate [147,148]. Phosphoric acid added to alumina and colloidal silica TaN slurry has also
Fig. 44. (a) Variation of COF at 2 psi down force and variable rpm in slurry Cu1; (b) variation of COF at 2 psi down force and
variable rpm in slurry Cu2; (c) variation of COF at 2 psi down force and variable rpm in slurry Ta; and (d) variation of COF at
2 psi down force and variable rpm in slurry Cu–Ta [85,86].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 127
Fig. 45. Cu and TaN polishing result (head 40 rpm, table 40 rpm, and pressure 7 psi) [149].
shown accelerated chemical reaction with TaN. Fig. 45 shows the increase in the polishing rate of TaN
with addition of phosphoric acid in alumina and colloidal silica slurry [149].
Mixed abrasive slurries (MAS) containing alumina/silica and alumina/ceria particles have been
developed with a goal to improve the selectivity of the CMP slurry [150]. Certain alumina/silica
abrasive concentration of MAS has shown marked increase in selectivity for underlying tantalum
when Cu was polished while a different concentration of ceria and alumina has shown excellent
polishing for oxide over nitride. The details of the experiments with these novel mixed abrasive
slurries have been published by Jindal et al. [151].
The reduction of the mechanical components by using smaller colloidal particles or making the
particles softer or porous can drastically improve the selectivity. The particle surface modification will
be discussed later in the section. Though highly selective slurries might help in avoiding defects such
as erosion of sub layers, there still exist planar defects such as dishing, WTWNU due to the differential
polishing pressure during the process. The defectivity of micro scratching and particle residue on the
surface of the wafer can also arise after polishing.
3.2.4. Agglomeration
3.2.4.1. Mechanics of agglomeration. The ideal slurry will have abrasives crystallized as discrete
single particles. However, particles in real CMP slurry apart from being discrete also exist in form of
aggregates and agglomerates, as shown in Fig. 46 [65,152,153]. A discrete particle is a single solid
sphere or other geometric shape. An aggregate is assembly of multiple particles with strong physical or
chemical attachment. Agglomerate is particles and/or aggregates that come together into close-packed
Fig. 46. Three different forms of silica particles [152] (copyright # 1979, reprinted by permission of John Wiley & Sons).
128 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 47. Illustration of agglomeration mechanism of silica (after Hayashi et al. [154]).
clumps that are not sufficiently ionically charged to provide permanent suspension. These large groups
of particles are not desirable for CMP slurry as they can cause micro scratches due to deep indentation
or non-uniformity due to differential polishing pressure. The agglomeration phenomenon can be
prevented using the techniques of milling at the point of slurry manufacture [65], filtration and proper
electrolyte balance. The tendency of the particles to agglomerate is also dependant on the pH of the
slurry. The illustration of agglomeration mechanism is shown in Fig. 47. The details of the
agglomeration phenomenon can be obtained from literature [154].
Fig. 48. Electron micrographs of: (a) spherical hematite (a-Fe2O3) particles (100 nm in diameter); (b) cubic hematite
particles (650 nm in length); (c) ellipsoidal hematite particles (440 nm in length); and (d) hematite particles coated with a
60 nm shell of silica [157].
The comparison of the mechanism of material removal using conventional slurry and abrasive free
slurry is indicated in Fig. 50a and b.
In addition to Cu abrasive free slurry, a slurry for second step TaN polishing has also been
developed. The prime objective of the TaN is to minimize oxide loss during overpolish as surface
deformity is not as much a problem with TaN as it is with Cu due higher hardness of TaN surface. Fig.
51 shows the comparative post-CMP evaluation of patterned Cu wafer polished by conventional slurry
and abrasive free slurry. As seen in the schematic, there is a decrease in microscratching, particle
residue adherence to the wafer surface, erosion oxide loss and dishing, etc. All in all a much improved
performance is shown by the CMP process when abrasive free solution is used [159–162] (Table 8).
The implementation of abrasive free slurry for first CMP step to polish Cu and then second CMP
step to polish TaN performed extremely well as can be seen from Tables 9 and 10.
Fig. 49. Effect of slurries of hematite particles of different shapes in dispersions containing 3 wt.% solids and 5 wt.% H2O2
at pH 4 on the rate of polishing of Cu and Ta discs [157].
130 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 50. Comparison between polishing mechanism for: (a) conventional Cu polishing and (b) abrasive free Cu polishing
[158].
Fig. 51. Advantages of applying slurry free polishing for Cu CMP [158].
Table 8
Polishing rate and surface temperature of the CMP process if different colloids [128]
Slurry Polish rate range (Å/min) Process temperature range (K)
Low High Low High
3 101.3 44.3 323.3 44.3 300.7 0.5 311.4 0.5
4 76.3 63.5 630.3 63.5 301.5 1.6 314.6 1.6
5 241.7 192.7 1707.3 192.7 304.2 3.5 333.8 3.5
6 476.2 294.2 2949.2 294.2 302.3 2.0 324.1 2.0
7 738.9 422.1 3656.9 422.1 303.0 3.2 326.5 3.2
8a 893.5 245.8 6479.8 245.8 303.8 1.1 334.5 1.1
8b 256.9 91.6 487.8 91.6 304.4 2.5 316.1 2.5
8c 28.9 13.4 70.6 14.2 303.2 1.7 340.9 1.8
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 131
Table 9
Performance of abrasive free slurry on 8 in. Cu wafer [158]
Items Values
Removal rate (Å/min)
Cu 5500
TaN <1
Selectivity (Cu/TaN) >5500
Micro-scratch (<0.2 mm) 10v
Dishing (L/S = 100/100 mm, 50% density) (Å) 500
Erosion (L/S = 1/0.5 mm, 67% density) (Å) 150
Table 10
Performance of abrasive free slurry on 8 in. TaN wafer [158]
Items Values
Removal rate (Å/min)
Cu 150
TaN 1000
SiO2 20
Selectivity
TaN/SiO2 50
TaN/Cu 7
Cu/SiO2 7
For the purpose of convenience the CMP slurries are further categorized in to three classes: (1)
oxide slurries; (2) metal slurries; (3) STI slurries.
In contrast to fumed silica, colloidal silica is synthesized in the liquid phase. The starting material is
sodium silicate (Na2SiO3), or sometimes the sodium meta-silicate (NaHSiO3), which are liquid glasses
with approximately 70% SiO2. By mixing liquid glass and water, colloidal silica crystals will be
formed and suspended simultaneously. The material is then stabilized by passing it through an acid
(H+) charged ion exchange resin. As a result, the shape of the colloidal silica particle is spherical. The
typical particle distribution of in silica slurry is seen in Fig. 53a and b.
Fig. 53. Typical particle size distribution of silica in oxide CMP slurry: (a) normal and (b) abnormal with a long tail
[65].
The ammonium alum (NH4Al(SO4)2H2) is precipitated by the mix of two solutions, namely,
aluminum sulfate (Al2(SO4)3) and ammonium sulfate ((NH4)2SO4). After precipitation, the pre-
cipitated alum is collected and calcinated. The ammonium alum will be converted into pure ammonia.
Depending on the completion of the phase change kinetically, the alumina can be either gamma or
alpha phase. Alpha phase alumina is harder than the gamma phase [65].
The slurry solution plays a different role in oxide (as a hydrolizer) and metal (as an oxidizer)
slurries.
medium of OH ion, however, as Na+ is notoriously mobile, there is a possibility that it can cause
contamination of the device lying under the interconnect structure. Though the K+ ion is not as mobile
as the Na+ ion, it can easily getter through BPSG. For this purpose the preferred oxide slurry is one,
which is based on NH4OH and has colloidal silica.
CMP is a complex interplay between the wafer and the consumables involved. As discussed
earlier in this section, the different slurry parameters dominate the CMP process behavior. The CMP
polishing pad is the other consumable which also has an equally dominating effect on the CMP process
output. One such CMP consumable, where there is extensive scope for development and improvement,
in order to meet the ever-increasing process reproducibility and reliability demands of the semi-
conductor industry, is the CMP polishing pad. The polishing pad is made up of a matrix of cast
polyurethane foam with filler material to control hardness of polyurethane impregnated felts. The pad
carries the slurry on top of it, executes the polishing action, and transmits the normal and shear forces
for polishing, there by playing a very crucial role in process optimization [56,166–169]. Polyurethanes
have a unique property of combining high strength and high hardness and modulus combined with
high elongation at failure. A stacked pad consisting of an IC 1000 top layer on a Suba IV sub-pad
(Rodel Inc., Newark, DE) is currently the pad system of choice to obtain both good global wafer
uniformity and small step heights (Fig. 54).
The pads used in semiconductor manufacturing can be grouped in four classes: class I, felts and
polymer impregnated felts; class II, microporous synthetic leathers; class III, filled polymer films;
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 135
Fig. 54. (a) Scanning electron micrograph (SEM) of cross-section of IC 1000 B4/Suba IV pad and (b) SEM of the surface of
IC 1000 [169].
class IV, unfilled textured polymer films. The summary of pad classifications and their properties are
given in Table 11.
The different processes involved in fabrication of the polishing pad have been detailed
elaborately in the literature [65]. The different process steps involved in pad manufacture to imbibe
certain properties in the pad have direct effect on their mechanical properties. Table 12 shows the
effect of different manufacturing processes on the pad properties.
The polishing pad might be subjected to elevated temperature during the course of the CMP
process. The heating is due to the frictional forces generated when there is solid–solid contact during
the polishing [103]. The solid–solid contact can cause local heating of the pad up to 30 8C [105]. There
is some alleviation of heating when the polishing is done in hydrodynamic contact mode [103].
However, there is overall increase in the pad temperature irrespective of the mechanism of polishing.
The effects of pad heating are compounded if the chemical reaction between slurry and pad is
exothermic [65]. The mechanical, physical and chemical properties of the polyurethane material can
be permanently or temporarily altered if the pad is heated beyond a particular limit [170]. Several tests
such as dynamic mechanical analysis (DMA), thermomechanical analysis (TMA) and thermogravi-
meteric analysis have been performed for material characterization of the polishing pad. This
subsection elaborates on some of the pad thermal characterization tests, procedures and results.
Table 12
Manufacturing process variables and their effects on pad properties [65]
Process Variables Effects
Felting (web) Fibersize Composite modulus
Particle density Volume fraction for impregnation polymer;
composite modulus; hardness; liquid permeability
Random vs. nonrandom Anisotropy of physical properties
fiber orientation
Impregnation (web) Polymer type Composite modulus; hardness; viscoelasticity
Volume fraction Composite modulus; hardness; liquid permeability
Microstructure Composite modulus; hardness; liquid permeability
Coating (web) Pore dimension Composite modulus; permeability
Composite modulus; permeability
Coating height
Random vs. non random Anisotropy of physical properties
Porosity
Scuffing (web, batch) Removal depth Pore dimension; coating height
Surface roughness
Abrasive size
Casting (web) Polymer type Hardness; modulus; viscoelasticity
Composite modulus; liquid permeability;
surface roughness
Filler size Composite modulus; liquid permeability;
abrasivity
Modulus; hardness; viscoelasticity
Filer volume fraction
Thermal history
Texturing (web, batch, net) Texture dimensions Liquid permeability; pad hydrodynamics
Contaminating (web, batch, net) Member thickness Composite materials
Property changes due Modulus; surface roughness; liquid permeability
to processing
modulus of the pad which in turn affect the removal rate and global planarization. The methodology
and experimental set up of DMA has been discussed elaborately by Lu et al. [103].
Fig. 55 shows the storage modulus of a new stacked IC 1000/Suba IV stacked pad when the
temperature sweep was fixed at 125–200 8C as shown by Li et al. It can be seen from the figure that
Suba IV had lower storage modulus as compared to IC 1000. The lower rigidity of Suba IV can be
attributed to composition of a polyurethane coating that contained a chain extender. The overall
contribution of the stiffness of the pad is a combination of individual components of the rigidity of
each of the components of the stacked pad including the pressure sensitive adhesive (PSA). Hence the
storage modulus of IC 1000 most closely matches the storage modulus of the IC 1000/Suba IV stacked
pad as IC 1000 has a higher relative functional contribution.
It is also interesting to compare the mechanical properties of an unused and used pad and study
the effect of pad degradation on storage and loss modulus. Lu et al. [103] have shown comparative E0
and tan d curves of an unused IC 1000/Suba IV stacked pad and another IC 1000/Suba IV that is near to
the end of its polishing life. It can be seen from Fig. 56 that storage modulus of the used pad is
138 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 55. A storage modulus (E0 ) comparison between stacked pad and its components [103].
considerably lower than that of the new pad at temperature below 0 8C, is comparable between 0 and
40 8C and then goes on to increase above that temperature.
The Tg of the polishing pad is affected by the number of chemical and molecular aspects. The Tg
peak as shown by Lu et al. shifted to a higher temperature and was considerably broader for the used
Fig. 56. Storage modulus (E0 ) and tan d differences between new and used pads [103].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 139
Fig. 57. Tan d overlay curves between isolated new and used IC 1000 layers [103].
pad as compared to the new pad. During CMP the top part of IC 1000 comes in contact with the
alkaline slurry. Hence, Lu et al. isolated the top layer of IC 1000 to study the pad degradation during
CMP process. Fig. 57 showed the relative variation of new and used isolated IC 1000 layer and IC 1000
layer without adhesive.
Lu et al. attributed the upward shift also to the degradation of IC 1000 when used and the decrease
in their relative component in the composite pad. Assuming the pads obeyed the copolymer equation
1 Xa Xb
¼ þ (3.4)
½Tg stacked pad model ½Tg IC 1000 ½Tg Suba IV
Fig. 58. Thermomechanical analysis (TMA) scan for a CMP pad conditioned at room temperature and tested using
penetration microprobe [107].
operating temperature, the pad is annealed at different temperatures for different times. It was
observed that proper thermal treatment allows the pad to operate at an amicable temperature and thus
achieve desired processing results. The details of pad annealing are available in literature [105].
The pad might be directly responsible for several process defects like WTWNU where there is
non-homogeneity of polishing when one wafer is compared to another or within wafer non-uniformity
(WIWNU) where there is non-homogeneity of polishing at different areas of the same wafer. In order
to improve the yield of the CMP process, to get a highly planar defect free uniform wafer surface and
to reduce the overall manufacturing costs involved, there is a need to extensively study the
fundamental properties of the CMP pads on the whole.
The scanning ultrasound transmission (UST) is a nondestructive technique developed that works
on the principle of ultrasound permeability through absorbing visco-elastic medium [103]. The
difference in the ultrasound absorption in the areas of varying density and viscoelasticity is used to
determine the non-uniformity within a single pad there by giving an in depth idea of the physical
characteristics of the given pad. Fig. 59 shows the set up of the UST equipment. The details of UST are
available in literature [170].
The full map image of the pad was obtained with the UST system using linear and rotary stages
driven by step motors with 7 mm radial and 28 angular resolutions. After the mapping, 6 in. diameter
coupons were punched out of the area seen to be non-homogenous as well as homogenous pad area.
The pad was then re-mapped after pressing the coupons in place from where they were punched in the
first place. The effect of the procedure on the homogeneity of the pad was observed.
DMA was performed on the 20 mm 10 mm samples cut from the 6 in. diameter coupon
punched from the pad. The experiment was performed keeping the temperature increments of 4 8C
with an isothermal time of 1 min per increment over a range of 30–80 8C (room temperature). The
flexural mode was used with single cantilever clamp and 3.0 mm amplitude. The frequencies for the
run ranged from 0.6 to 100 Hz. The set up and working of DMA is already well established [103,171].
Fig. 60a shows the results of the complete 3608 scanned ultrasound mapping of IC 1000/Suba IV
dual pad. It can be noted that the pad has a distinct region of high and low ultrasound transmission [173].
Two, 6 in. coupons (denoted by circles drawn on the full scale scan) of the pad were punched from the low
and high ultrasound transmission regions. After punching, the pad was remapped placing the coupons at
the same positions on the pad. The results of the area scans of coupons replaced in the original position
are shown in Fig. 60b and c. It can be seen from Fig. 60b that the ‘‘high-intensity’’ coupon (#A) after
punching and remapping showed reduced ultra sound signal transmission as compared to the surround-
ing pad and the previous magnitude of ultra sound signal transmission. This indicates that high-UST
region in the full map corresponds to the compressed part of the pad material (pad is under compressive
stress), which is relaxed when coupon was punched. It can be estimated that 20% variation of the UST
Fig. 60. (a) Pad mapped before punching 6 in. coupons; (b) areas of high intensity mapped (coupon #A); and (c) area of low
intensity mapped (coupon #B) (all the values have been normalized over the entire area) [103,171,174].
142 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
amplitude between high and low intensity areas corresponds to 10% relative change of the pad density
(specific gravity). It has to be emphasized that this local density variation has to be assessed on the whole
pad and not on the coupons due to stress relaxation. The distinct lower signal at the edge of the coupon in
Fig. 60b and c shows that there is an air gap between the punched coupon and the entire pad at the edge of
the coupon. Certain distinct areas of reduced ultra sound signal transmission in the coupon showed in Fig.
60b also indicate that there is an air gap underneath the coupon when it is put back in place. The coupon
shown in Fig. 60b is not able to take the original flat shape after being punched.
When the coupon from lower UST intensity (#B) is punched and remapped after placing it in its
original position, as seen in Fig. 60c, the edge of the 6 in. coupon shows decreased ultra sound signal
transmission similar to #A coupon (Fig. 60b). Even in this case, there exists an air gap at the edge of the
coupon. However, the coupon shown in Fig. 60c remains flat in the position when replaced at the
original position after being punched. The ultra sound signal over the entire coupon remains more or
less the same as seen in the previous scan (Fig. 60a). However, there is an increase in the UST
amplitude in the center of this coupon suggesting that certain regions of the ‘‘lower intensity’’ area of
the pad were under tensile stress and after being punched relax, which makes them denser, thus
increasing the UST signal.
Dynamic mechanical analysis (DMA) was performed on pieces of the coupons punched out of the
pad. Fig. 61a shows the comparison of the variation of the storage modulus with temperature of pad
material measured from low and high intensity regions. Even though there is a difference in the value
of storage modulus of the material measured from the ‘‘high intensity’’ and ‘‘low intensity’’ region at
100 Hz frequency and 30 8C, as the temperature increases, the values of the storage modulus of the pad
material from both high and low intensity regions are very close and show similar trends.
The trend of variation of the storage modulus for both samples is very similar or identical for the
30 and 0.6 Hz. It can be seen in Fig. 61b, that there is no significant difference between the values of
loss modulus for the compared samples at 100, 30 and 0.6 Hz. The curves of variation of the loss
modulus with temperature for all the measured frequencies followed similar trends. Fig. 61c shows the
variation in tan d parameter with frequency at different temperature for the compared materials. The
values of tan d at different measured temperature and frequencies occur in close proximity and the
variation even in the case of tan d shows a similar trend [174]. As the pad is made up of the same
homogenous polyurethane material, there is no difference between the mechanical properties of the
samples taken from the ‘‘high intensity’’ and ‘‘low intensity’’ regions. The experiment was repeated
for verification of the trend obtained from an earlier experiment.
Previously, similar experiments were performed with the same commercial IC 1000/Suba IV. One
and three inch coupons were cut and placed again, remapped and analyzed using DMA, similar results
were found even in that case. The set of experiments when repeated on other polyurethane pad showed
that there is no variation in the bulk material properties of the material taken from the high and low
ultra sound transmission regions. The coupons of high intensity and low intensity regions were
evaluated for their tribological properties using the CETR CMP tester discussed in the previous
section. Fig. 62 shows the variation of COF at different rpm when thermally grown 3000 Å SiO2 is
polished using the high and low transmission pads at 3 psi down force. There is no significant trend in
the variation of COF of the regions belonging to high and low transmission regions in the pad.
Thus it can be inferred that there exist high and low transmission regions, i.e. high and low
specific gravity regions in the pad presumably due to the variations in the pressure sensitive adhesive.
The regions can cause non-uniformity in the wafer. However, attempts to isolate these regions will be
futile as the variation is due to the built in stresses which are released when the coupons are isolated
from the polishing pad. To accurately gage the effect of these specific gravity regions, a mechanism of
localized polishing of the pad needs to be developed and results need to be studied [175,176].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 143
Fig. 61. (a) Variation of storage modulus vs. temperature; (b) variation of loss modulus vs. temperature; and (c) variation of
tan d vs. temperature of samples tested from low and high intensity region of the pad [172,173].
Since surface topography of the wafer after the CMP process determines the device yield, the
dishing of dielectrics and erosion of metal lines has been studied in detail. Dishing and erosion are
controlled by the local pressure distribution between features on the surface of the wafer. The
difference in pressure on certain features of the wafer can be the result of pad non-uniformity, high pad
surface roughness and stiffness. Thus along with the pattern density, line width, applied down force,
selectivity of the slurry, the pad properties also need to be accounted for when dishing and erosion
studies are performed. Efforts to predict dishing, erosion and compromise in topography have been
made since 1991. Warnock performed more of a phenomenological study to model dishing and erosion
without going in to the details of the mechanics involved there in [177].
Vlassak [178] has presented the contact mechanics model to predict the extent of dishing and
erosion for a particular CMP process step and elucidate the mechanism of dishing. When the pad is
144 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 62. Variation of COF at different rpm for SiO2 polished at 3 psi [176].
pressed with a patterned wafer, the asperities come in contact with the wafer and the pad is compressed
under the down force of the wafer. Vlassak et al. proposed that the height of the pad asperity can be
calculated as
1 jzj
PðzÞ ¼ exp (3.6)
2s s
where z is the height of asperity above and below the pad surface and s is a roughness parameter that
represents the width of asperity height distribution. If T(x,t) function describing the surface profile of
the wafer at the given time t, wðx;tÞ represents the shape of the deformed pad and d(x,t) represents the gap
between the wafer and pad. Schematic and free body of a compliant pad during CMP can be seen in
Fig. 63a and b [178].
Substituting the various input parameters and boundary conditions, the equations for pressure
distribution and pad deformation can be obtained [178]. Knowing the pressure distribution the removal
rate can be calculated using Preston’s equation [99]. The contact pressure can be estimated utilizing
the contact mechanics models by Greenwood and Williamsson [179], and Johnson [180]. Numerical
simulation and iteration of the obtained equation as shown by Vlassak et al. can be used to determine
the various output parameters of the CMP process at different values of time. Fig. 63a and b shows the
variation of the depth of dishing with increasing line width for given values of pattern density, pad
stiffness and pad surface roughness factor. It can be seen that there is an increase in dishing with the
same pad with increase in the line width and increasing in polishing time (especially crucial when the
wafer is over polished). The effect of pattern density on erosion of metal lines can be seen in Fig. 63c
and d. The erosion of metal lines increases with increase in polishing time (overpolish) and increase in
pattern density. Thus the polishing pad along with time of polish and surface roughness factor play a
crucial role in the dishing and erosion CMP process defects.
The effect of pad texture on tribological and kinetic properties of polishing pad has been studied
by Philipossian and Olsen [181]. Real time monitoring of COF was done to estimate the normal shear
forces originating during a particular CMP processes for a given pad. Frictional and removal rate data
were taken on Rodel IC 1000 flat, perforated, XY- and K-grooved pads. The details of the experimental
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 145
Fig. 63. (a) Schematic; (b) free body diagram of a compliant pad during CMP; (c) variation of dishing with line width; and
(d) variation of erosion with pattern density of the polished wafer [178].
set up and parameters can be obtained from literature [181]. The Somerfeld number, COF, a new
parameter called tribological mechanism indicator (TMI) and materials removal rate were some of the
parameters monitored during the experiments by Philipossian and Olsen.
Philipossian and Olsen reported that (Fig. 64), for a slurry concentration of 2.5%, the Stribeck
curve shows that mechanism of polishing for the K-grooved pad remains in boundary lubrication
throughout the range of parameters studied. Flat and XY-grooved pads begin in boundary lubrication
and migrate to partial lubrication as Sommerfeld numbers increase. Perforated pads begins by
exhibiting boundary lubrication and then transition to partial lubrication at higher values of
Sommerfeld number. Thus different pad surface texture showed different material removal mechan-
ism. The different removal mechanisms naturally produce different tribological properties, and
different removal rate.
After the investigation of the different removal mechanisms and polishing performance of the
different grooves on the pad, the effect of grooves on the mechanical properties of the pad must be
investigated. DMA of the rectangular samples of polishing pad was performed by Moinpur et al. with a
temperature range of 120 to 180 8C, to evaluate the elastic modulus (G), storage modulus (G0 ) and
damping properties of pads with different grooves. The effect of pad groove orientation on the storage
modulus of the pad is shown in Fig. 65.
The pads with longitudinal groove and grooves in 30 8C show higher storage modulus in the
temperature range of 120 to 75 8C. On a macro scale during a CMP process, the effect of difference
146 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 64. Stribeck curves for various pad textures for 2.5 wt.% fumed silica slurry [181].
in storage modulus might not produce any significant defects or damage, however, the variation of the
mechanical properties need to be studied further on a micro scale to gage its impact on the CMP
process and material removal mechanism which is seen to be different from the study of Philipossian
and Olsen.
The quantitative analysis of the physical and chemical changes that occur in the polishing pad
during polishing was performed by Lu et al. [103]. The surface of the polyurethane pad has been
studied and reported in literature [171]. In their research Lu et al. studied the effect to polishing cycles
on the pore size and shape of the commercially available IC 1000/Suba IV polishing pad. Fig. 66a and
b shows the SEM of the polishing pad before and after polishing, respectively, as shown by Lu et al.
[103].
Fig. 65. Dynamic mechanical analysis of pads with different groove orientations [103].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 147
Fig. 66. (a) Scanning electron micrograph of CMP pad before and (b) after polishing [103].
It can be clearly seen that the pore size and shape distribution has been modified by polishing
cycles. Polishing induced a permanent distortion in the radial direction due to the oscillatory motion of
the wafer while no change was seen in pad pore size in the transverse direction (direction of pad
motion). The change was attributed to pore closure by surface reflow of the polymer during polishing
and conditioning and not due to debris filling [103]. Fig. 67a and b shows the pore distribution of the
pad before and after polishing. The surface profiles generated using white light interferomery (WLI)
by Lu et al. show that the overall micro roughness of the pad decreases with polishing and there is a
smoothening effect over a period of time due to polishing cycles. Table 13 shows the value of
roughness (Ra) in microns measured over the surface of the pad.
Along with physical changes, the pad undergoes surface chemical modification and degradation
due to polishing slurry chemicals. The candidate pad used by Lu et al. was used for oxide polishing.
When the new and used pads were evaluated for surface properties using XPS, the surface spectrum
showed evidence of silica particles (abrasives) on the surface. Further analysis of Infra red spectrum of
the silica film on the glass slide revealed that there is strong Si–O–Si stretching bond. Due to this the
difference in the surface spectrum of new and used polishing pads cannot be completely attributed to
Table 13
Comparison of surface roughness before and after polishing [103]
Pad #1–14 location exp. times Ra (mm)
New Used
1 7.400 7.184
2 9.409 6.250
3 8.979 6.048
Average 8.596 6.494
S.D. 1.058 0.606
148 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 67. (a) Pore distribution of unused; (b) used CMP polishing pad. Dx, Dy and Dx0 , Dy0 correspond to the parallel and radial
dimensions, respectively, in pores from new and used pad surfaces, where the slope of the linear relationship Dy/Dx is an
indication of the degree of pore ellipticity [103].
the presence of silica debris. The absorbance spectra of new, used and dry silica slurry film (Fig. 68)
evidently show that the change is surface is predominantly due to pad chemical degradation due to
polishing and conditioning runs [103].
No pad degradation of the non-surface material of the used polishing pad was observed by Lu et
al. indicating that the bulk material does not get directly affected by polishing. The change in spectrum
and shifting of the peaks of used pad as compared to the new one has been attributed to the realignment
of the molecules of the damaged pad surface layer.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 149
Fig. 68. The absorbance spectra in the 1300–1000 cm1 region of used IC 1000 surface, new IC 1000 surface and dry silica
slurry, respectively (from top to bottom) [103].
The porous structure of the polishing pad encourages water seepage. The presence of water in the
polymer pore directly affects the mechanical properties of the polishing pad. Li et al. [171] studied the
effect of pad soaking time on the mechanical properties of the polishing pad using DMA. Li et al.
designed an experiment to progressively soak a pad in water and find the change in shear modulus (Fig.
69). The dynamic shear modulus decreased to two thirds of its original value when the pad is soaked
for 5 h. Further, the rate of decrease in dynamic shear modulus dampens at around 14 h but does not
reach a steady state. Li et al. [171] simultaneously performed and experiment to estimate the removal
rate of the pad with soaking time in water. As seen from Fig. 69, there is no significant change in the
removal rate when pads with different soaking time are used in oxide polishing. This shows that
removal rate is more of a surface characteristic of the pad and does not get affected by the bulk material
Fig. 69. Dynamic shear modulus (left) and oxide removal rate (right) vs. soaking time of an IC 1000 pad in water [105].
150 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
properties. However, the bulk material properties can directly impact other CMP parameters and hence
need to be thoroughly evaluated.
Modification of the pad wafer contact mechanics can directly impact the CMP process
performance. The modified surface can even go up to changing the mechanism of material removal
during a polishing process [182]. To quantify the change in the surface of the pad, Borucki [183] has
proposed a probability density function (pdf) for time dependence of the variation in polishing rate due
to the abrasive wear of the pad. Lawing has already shown that changes in the pad surface produce
CMP results that deviate from the Preston’s equation. Along with wafer polishing the conditioner
made of diamond grit considerably alters the surface of the polishing pad. The interaction of the pad
and conditioner can be seen in Fig. 70.
Fig. 70 shows the pad and conditioner surface geometry. The process of conditioning essentially
removes some amount of top pad surface and is performed either in situ (during the polishing run) or ex
situ in between two polishing runs. The pad damaged due to insufficient conditioned is termed as
‘‘glazed’’.
Experiments were performed by on CMP pad by Lawing at different conditioning aggressiveness
(expressed as low, medium and high). The reduced polishing rate (i.e. polishing rate divided by the
product of pressure and velocity of the condition corresponding to the polishing) was plotted as a
function of predicted contact area and pad surface roughness. The change in polishing rate correlated
better with the predicted surface contact area (details of predicting pad surface contact area have been
published by Lawing [182]) rather than the surface roughness. The surface contact area is a pad near
surface parameter, while pad roughness is a bulk parameter. Fig. 71 shows the variation of reduced
polishing rate with pad surface contact area and pad roughness.
Fig. 71. Variation of reduced polishing rate with: (a) predicted contact area and (b) pad roughness [186].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 151
Fig. 72. Pad asperity distribution with different conditioning aggressiveness [186].
Fig. 72 shows the pad asperity distribution for different conditioning aggressiveness. The data
represent pad surfaces after steady-state polishing utilizing in situ conditioning with conditioners of
varying aggressiveness levels (low, medium and high pad dressing rate) and otherwise identical
process conditions. It can be noted that the degree of pad surface deformation (relative area of red
secondary peak) increases with decrease in pad conditioning aggressiveness.
Traditionally the Rodel IC 1000/Suba IV has been the pad of choice of semiconductor industry.
However, the drop off in material removal rates as a function of time observed on polyurethane has been
attributed to changes in the mechanical response of polishing pads under conditions of critical shear.
It has been shown before, that the functionality loss on polyurethane-based CMP pads is due to
pad decomposition from the interaction between the pad and the slurries used in the polishing. Based
on this understanding, we have developed and demonstrated a new class of application specific (ASP)
polishing pad based on thermoplastic polyolefins. The application specificity is accomplished by
matching the micro-mechanical properties of the pad surface to the material being removed during the
CMP. The process advantages of the resultant ASP pads include: no need for the traditional pad ‘break-
in’ before polish, no conditioning/dressing ever, no need to keep pads wet in idle mode, long pad life,
high selectivity, ergonomically friendly/easy pad changes and demonstrated pad-to-pad reproduci-
bility. The polishing performance and characteristics of the ASP have been elaborately discussed by
Zantye et al. [173].
Currently the process of CMP is carried out using slurry with fine abrasive particles. This gives rise
to a lot of practical difficulties in slurry handling. Slurry particle agglomeration a result of faulty handling
and mixing and agglomerated particles give rise to lot of polishing defects such as non-uniformity and
scratches. In order to over come these difficulties, embedding the abrasives in to the polishing pad has
altered the conventional polishng method and this technique is called slurry free polishing technique
[184]. The slurry free technique shows two distinctive advantages: (1) simplicity in handling and (2)
cleanliness. Promising results on the CMP process using fixed abrasive pad have been reported recently
[185]. Fig. 73 shows SEM of a 3 M fixed abrasive pad. The pad used in polishing wafers with different pH
solution depending upon the process removal rate and uniformity requirements. The polishing solutions
can be improved by adding different oxidizing and complexing agents.
3.16. Summary
The research in CMP consumables has generated a lot of knowledge about the dependence of the
CMP process over the several consumable micro scale, meso scale and macro scale consumable.
152 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Influence of the slurry parameters on the CMP output variables has been discussed in the section. The
dependence of the critical out put variables such as global planarization and removal rate has been
emphasized. The parameters considered during the slurry design such as selectivity, particles
dispersion, size distribution, etc. affect the CMP output parameters such as defects generation,
removal rate, and planarity as well as the slurry polishing mechanism. Several defects that arise in
CMP process due to faulty slurry characterization (microscratches, non-selectivity) have been
discussed in this section. The polishing pad also equally influences the CMP process. The effect
of pad mechanical properties on the CMP process and effect of different conditions and treatments on
the pad mechanical properties themselves have been discussed. The polishing pad is conditioned to
expose the new surface for polishing and even this has an effect on the CMP process. The polishing pad
also causes several defects such as non-uniformity, dishing, erosion, etc. This section emphasizes that
the properties of the pad when studied as whole can show variation even when different small samples
of the pad appear to be homogenous. The physics and chemistry of the pad surface change before and
after polishing have also been discussed. Finally new innovations in pad design and fabrication have
been overviewed.
4.1. Introduction
Understanding the tribological, mechanical and structural properties of an inorganic and organic
dielectric layer in the CMP process is critical for successful evaluation and implementation of these
materials with the copper metallization. However, there are still many issues to be resolved, as
integrating low-k is more complicated than the integration of Cu. Perfect dielectric materials should
have high mechanical strength, good dimensional stability, high thermal stability, ease of pattern and
etch for sub-micron features, low moisture absorption and permeation, good adhesion, low stress, good
etch selectivity to metal, high thermal conductivity, high dielectric strength, low leakage current, good
gap filling and planarization capability, and dielectric constant <3 [186–190]. Polishing behaviors of
different carbon and fluorine doped silicon dioxide (SiO2) low dielectric constant materials in
chemical mechanical planarization process are discussed in this chapter. Tribological properties of
SiLK and BCB dielectric films are also discussed here. Films were deposited using both chemical
vapor deposition and spin-on method. Carbon and fluorine incorporation in the Si–O network weaken
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Table 14
Properties of ideal low-k materials [184–186]
Electrical isotropic k < 3 @ 1 MHz Chemical Mechanical Thermal
No material change when Thickness uniformity <10% within and Tg > 400 8C
exposed to acids, bases and strippers <5% wafer to wafer for 8 in. wafer at 3s
Low dissipation Etch rate and selectivity better Good adhesion and good metal Coefficient of thermal expansion
than oxide and other dielectrics <50 ppm/8C
Low leakage current <1% moisture absorption at Residual stress < ( ) 100 MPa Low Thermal shrinkage
100% relative humidity
High charge trapping Low solubility in H2O High hardness <1 wt.% loss
High electric field strength Low gas permeability Low shrinkage High thermal conductivity
High reliability High purity Crack resistance
High dielectric breakdown No metal corrosion Tensile modulus >1 GPa
voltage >2–3 M V/cm
Long shelf life Elongation at break >5%
Low cost of ownership Compatible at CMP
Commerically available
Environmentally safe
153
154 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
the mechanical integrity of the structure and behave differently in slurry selective to SiO2 films.
Mechanical properties of the films were measured using depth sensing nanoindentation technique and
found that undoped SiO2 film has the highest and spin-on carbon doped oxide films has the lowest
hardness and modulus values. Wear behavior of the doped SiO2 is studied in a typical SiO2 CMP
environment and results are analyzed and compared with those of the undoped SiO2 films. Coefficient
of friction and acoustic emission signals have significant correlation with the polishing behavior.
Surface of the films are investigated before and after polishing using atomic force microscopy (AFM).
Roughness and section analysis of the films after polishing show the variation in wear mechanism.
Validation of Preston’s equation is discussed in this study. Polishing behavior of different other
polymeric dielectric films have also been discussed here. Additionally, different wear mechanisms are
presented and a two body abrasion model is proposed for the softer doped oxide films.
Fig. 74. Mechanical integrity scale of different dielectric materials normalized against SiO2.
their high thermal and mechanical stability than that of organic materials [194]. In this study, we
discussed the mechanical and tribological properties of different silicon dioxide-based interconnect
dielectric materials (SiO2, fluorine- and carbon-doped oxides). It is often difficult to study the
fundamental polishing properties in situ in a real CMP polisher. Conventional studies mostly restricted
themselves to the optimization of process parameters without understanding of basic tribological
properties. The CMP tester (described in Section 2), used in this study, has several sensors (force
sensor, acoustic emission (AE) sensor and electrical sensor), which are very useful for the in situ
monitoring and optimizing the CMP process. Co-efficient of friction (COF) was measured using force
sensor during polishing and it is found that COF has a dependency on hardness and acoustic emission.
We have also discussed the correlation of AE signal with COF and mechanical properties of the films.
Mechanical properties of the films were evaluated using nanoindentation while AFM technique was
used to investigate the change of surface of the films due to polishing. Correlation of mechanical
properties along with the friction behavior and surface nature of the films with the wear behavior has
been discussed. Validity of Preston’s equation has also been discussed. Different wear mechanism has
been discussed and a two body abrasion model has been proposed for the softer films along with the
normal CMP process.
In copper damascene process normally low-k materials are protected from exposure to CMP
environment. It can be seen from Fig. 75 that low-k is ideally free from any polishing activity.
In dual-damascene Cu-interconnect system CMP is a two step process. In first step Cu is being
polished with a copper selective slurry and barrier layer, which acts as a protecting layer of low-k
system. In second step barrier layer is being removed with barrier selective slurry and a hard
inorganic mask/dielectric capping layer is typically used to provide mechanical support and
prevent interaction between the slurry and the low-k materials. As the minimum feature size
decreases barrier and hard-mask/cap layer dimension become critical in order to maintain the
dielectric performance. Recent studies show that a copper interconnect system may indeed be
feasible without barrier and hard-mask/cap layer [2]. Understanding of the tribological and
corrosion behavior of low-k materials in CMP environment is due to: (i) evaluation of the scaling
effect of copper interconnects technology with improving the knowledge on the slurry–dielectric
interaction and (ii) development of new materials and CMP machine parameters suitable to
eliminate the need for conventional barriers and low-k caps. In addition to these, the non-conformal
deposition of spin-on polymers requires an effective planarization process. Preparation methods of
next generation low-k materials may be restricted to only spin-on method. However, the planariza-
tion capability of the low-k materials has to be demonstrated prior to the implementation in these
technologies.
CVD and spin-on methods are main deposition techniques of present generation low-k materials.
Several spin-on low-k materials, like hydrogen silsesquioxane (HSQ), spin-on-glass (SOG), SiLK
(trade mark of Dow Chemical Company), etc. have been studied [195]. Low-k dielectric materials can
be categorized as follows: doped oxides (FSG, HSQ, MSQ and HOSP), organics (BCB, SiLK, FLARE
and PAE-2), highly fluorinated materials (paryleneAF4, a-CF and PTFE), and porous materials
(aerogel and xerogel) [195]. In some cases, combinations of these materials (for example, porous
organics) are also being explored [195]. Silicon dioxide (SiO2) has a dielectric constant of 4, while
air is considered as the perfect insulator with a dielectric constant of 1. Porous materials can
therefore achieve lower dielectric constants than the constituent materials [196]. Among many low-k
candidates, however, only a few materials have shown all the required properties needed for
integration into high-volume manufacturing processes.
Finding polymers with low-k value is a relatively easy task; but finding those with all the
required chemical, mechanical, electrical and thermal properties for use in IC applications is more
difficult. Proponents of CVD approaches, most notably carbon-doped siloxanes also known as
organo-silicate glasses (OSGs) with k ranging below 2.5, claim the advantage of being able to reuse
of existing tool sets and simpler integration due to the SiO2-like structure of CVD siloxanes [197].
Alternatively, manufacturers of spin-on materials and spin-on equipments contend the better
extendibility of future generations’ low-k dielectrics, especially in the sub-2.5 range when
porous low-k materials will likely be used. Today, porous versions of many low-k spin-on
materials are available for testing, whereas porous CVD low-k materials have yet to be demon-
strated [197].
Most spin-on materials are organic polymers, some are inorganic and some are blends of the two.
Their k values vary significantly from each other, depending on the material. However, in general the
values are below 3.0. The semiconductor industry first broached the subject of new dielectrics in 1998
with the 0.18-mm circuitry that is now standard in high-end semiconductor chips like the Pentium 4. At
this production node, manufacturers found a relatively easy answer for low-k material in fluorinated
silicate glass (FSG), a CVD material created by doping traditional SiO2 with fluorine from silicon tetra
fluoride during the deposition [195].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 157
Another carbon-doped low-k film (SiOC NSP) was deposited using a different and non-standard
precursor tetramethylsilane gas, with N2O and N2 using PECVD method at 400 8C in a six-station
sequential deposition system. It is similar to the film SiOC SP.
In order to investigate the difference in CVD deposited low-k with the spin-on low-k we have also
used a carbon-doped oxide-based low-k (SiOC SO), deposited by spin-on method. SiOC SO is
siloxane polymer-based material and is an organic and inorganic hybrid. As this dielectric film was
deposited by a spin-on method, it has flowable and planarizing characteristics.
Silica aerogel/xerogel which is known as nanoporous silica, has numerous properties which
suggest applications such as low dielectric constant (1.1 < K < 2.5) materials for inter-level
dielectrics for the next generation. The advantages of these materials, in addition to the low
dielectric constant, include high temperature stability, pores much smaller than microelectronic
feature sizes, deposition using conventional spin-on and vapor deposition methods, and precursors
similar to those currently used in the microelectronic industry. SiLK is a new polymer from the Dow
Chemical Company which does not contain silicon. A valuable feature of SiLK is its high thermal
stability. SiLK films are prepared by spin-coating of dissolved initial products in organic solvents
and curing [203].
As the dielectric constant decreases the mechanical properties of the materials deteriorate. This is
undesirable for interconnect materials. A material with optimized mechanical strength is very much
required for successful chemical mechanical polishing of these interlayer dielectric materials. Thus to
ensure a low-cost packaging solution for low-k devices, issues regarding mechanical properties must
be understood and considered as carefully as electrical properties [204,205]. In the case of porous
materials of dielectric constant 2, porosity near 65% are utilized. These materials are so weak
mechanically that they may not be robust enough for the CMP process. Simplified classification of the
low-k materials can be seen in Fig. 76.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 159
To evaluate the mechanical properties, nano-indentation over a small scale has been used
extensively in recent years [206–210]. This is a depth sensing indentation at low loads and is a well-
established technique for the investigation of localized mechanical behavior of materials. The
displacement and load resolution can be as low as 0.02 nm and 50 nN, respectively. A typical load
versus displacement curve showing contact depth (hc), and maximum depth (ht) after unloading is
shown in Fig. 77. Hardness and Young’s modulus of elasticity are derived from the experimental
indentation data by an analytical method using a number of simplifications [211]. Contact depth hc can
be calculated by:
eF
hc ¼ ht (4.1)
S
where ht is maximum depth of penetration including elastic deformation of the surface under load, F is
the maximum force, and e = 0.75 is a geometrical constant associated with the shape of the Berkovitch
indenter [211]. Once hc is determined, the projected area A of actual contact can be calculated from the
Fig. 77. Typical curve showing the loading and unloading as a function of indenter penetration depth.
160 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Table 15
Details of different low-k materials [190]
Sample Thickness (Å) Grown by Refractive index Dielectric constant (k) Film density
SiO2 U 3850 PECVD 1.474 4.0 2.35
SiOF 1700 HDPCVD 1.430 3.7 2.07
SiOC SP 4350 PECVD and standard precursor 1.390 2.9 1.8
SiOC NSP 3550 PECVD and non 1.415 2.9 1.8
Standard precursor
SiOC SO 6600 Spin-on 1.370 – –
cross-sectional shape of the indenter along its length. S is the stiffness, which can be derived
experimentally from the following equation:
dF 2 pffiffiffi
S¼ ¼ pffiffiffi Er A (4.2)
dh p
where Er is the reduced modulus. Hardness is then calculated from the simple relation:
F
H¼ (4.3)
A
The reduced modulus Er is normally defined as:
1 1 n2 1 ni
¼ þ (4.4)
Er E Ei
where E and n are Young’s modulus and Poison’s ratio for the sample and Ei and ni are the same for the
indenter, respectively.
Details of the samples along with their refractive index, k-values, and density information are
shown in Table 15. Mechanical properties of the films were measured using nanoindentation technique
using Nano Indenter1 XP (MTS System Corporation, Oak Ridge, TN). A three-sided Berkovich-
shaped diamond indenter is used to indent on the material surface. The load and displacement data
obtained in the nanoindentation tests were analyzed according to the method of Oliver and Pharr
[206,207]. The continuous stiffness measurement (CSM) technique was used for measuring absolute
and depth dependent hardness and modulus values. Values were calculated by averaging a number of
separate indentations at particular depth specifications. Initially the instrument was calibrated with the
standard sample (fused silica) provided by MTS and other single crystal metal samples.
Evaluation of mechanical properties of ILD materials is important because these coatings
undergo multiple thermal cycles and CMP processes in IC fabrication. Additionally, mechanical
properties of the low-k materials have been shown to depend on their k values. As the k value decrease
the mechanical integrity of the materials deteriorate [193]. Depending on the mechanical properties of
low-k films, consumables and machine parameters have to be selected during the CMP process. In this
study, we have measured hardness and modulus of the thin blanket coatings of all doped and undoped
oxide films using nanoindentation technique. Young’s modulus and hardness for these samples are
calculated from the loading and unloading curves using CSM technique and the results are
summarized in Table 15.
One would expect though, that if the higher SiOF film thickness was available to measure the
loading–unloading curve, results might not be very different than SiO2 U film. This indicates that both
the films might be behaving in similar way during the indentation. In other words, structural integrity
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 161
Fig. 78. Typical loading unloading curve for: (a) undoped SiO2, SiOF and (b) SiOC (SP), SiOC (NSP), SiOC (SO) [212].
of both the films is not very different from each other. Also, both the films show more of an elastic
nature during indentation process. Fig. 78b shows the loading–unloading curves for all three SiOC
films. Although SiOC SP and SiOC NSP films show similar loading curve behavior, the unloading
curve for SiOC NSP film shows more plastic deformation. Loading–unloading curve for SiOC SO film
is very similar to that of a polymer-like soft material [212]. Before unloading, during hold time, the
indenter displacement is taking place, which indicates that this spin-on film has time-dependent
mechanical properties. The nature of unloading curve for SiOC SO film indicates the creep behavior of
the film [213].
The modulus and hardness calculations were obtained from the plateau region of their respective
curves versus penetration depth (10–15% of their film thickness range) in order to minimize
substrate effects [214]. The results are summarized in Table 15. Although depth of indentation was set
to 30–50% of the film thickness, absolute values were calculated at the average depth of 10% of the
film thickness, which is a rule-of-thumb for measuring hardness and modulus of very thin films
without substrate effects. It can be seen from Table 15 that SiO2 U has the highest hardness and
modulus values, whereas SiOC SO film have the lowest. Although the indentation behavior of SiO2 U
and SiOF films has similar characteristics within the measured depth of penetration for SiOF film, later
has lower hardness and modulus. This is due to the incorporation of fluorine in the Si–O network which
results in a feebler and less dense structure. It is prudent to mention that SiOF films with 10 at% of F
in the structure exhibit film density of 2.07, whereas density of SiO2 U films is 2.2–2.5 [194]. The
modulus and hardness of SiOC films are smaller even when compared to that of the SiOF film. This
again may be caused by the weaker mechanical integrity of the film, due to lower density of the films
with carbon incorporation in Si–O network. The density of a typical SiOC film with carbon
concentration of more than 10 at.% is 1.8 [194]. SiOC SP film deposited with standard precursor
TMCTS exhibits lower hardness but higher modulus than that of the film SiOC NSP deposited with
162 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Table 16
Details of the nanoindentation results
Sample Thickness (nm) Indentation depth (nm) Hardness (GPa) Modulus (GPa)
SiO2 U 385 200 6.3 1.2 68.1 1.2
SiOF 170 100 4.3 0.70 42.4 3.4
SiOC SP 435 200 1.3 0.17 8.8 0.3
SiOC NSP 355 200 1.7 0.50 6.1 1.26
SiOC SO 660 350 0.3 0.04 4.5 0.5
Fig. 79. Loading and unloading curves vs. penetration depth in xerogel sample: for ht = 130 nm (a); ht = 350 nm (b); and ht =
450 nm (c) [212].
Indentation sites on the xerogel and SiLK samples were observed by HRSEM. Fig. 80 shows the
micrographs of indented areas of both samples. Fig. 80a shows the indentation marks for a penetration
depth of 2050 nm (3 the film thickness). The center of one of these indentations is enlarged in Fig.
80b. It can be seen from the micrographs that the xerogel coating is quite brittle and has been crushed
or peeled from the substrate. Indentation marks for the 250 and 450 nm depths are also shown in Fig.
80c and d, respectively. It can be seen that radial cracks are being generated in both the 250 and 450 nm
indents, but circular cracks are only visible after the 450 nm deep indentation. Fig. 76e and f show the
indentation marks on the SiLK sample for the 250 and 2050 nm depth, respectively. These images also
give evidence of delamination of the SiLK film from the silicon substrate after indentation, suggesting
large tensile stress in the film (and limited adhesion), consistent with a thermally cured spin coating.
Delamination of the low-k materials during CMP could be prevented with well characterizing the
mechanical properties of low-k materials. Also mechanical properties of low-k can be correlated with
the CMP performance of these materials. In the next section we will discuss the polishing behavior of
various low-k materials in different CMP environment.
We have performed CMP process on a prototype CMP tester (UMT series, CETR Inc., CA) with
variety of process parameters. It is essentially a bench-top CMP machine with a number of signals
monitored and analyzed in situ. The polishing of the samples to be tested was performed with a variety
Table 17
Values of indentation results for xerogel and SiLK sample
Sample Thickness Displacement Hard ness Young’s modulus Depth of
(Å) (nm) (GPa) (GPa) calculation (nm)
Xerogel 6000 100 0.29 0.05 2.64 0.31 35–50
SiLK 6000 60 0.26 0.02 4.06 0.25 35–50
164 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 80. SEM micrographs of indentation mark on the xerogel sample at different depth of penetration: (a) 2050 nm; (b)
2050 nm in higher magnification; (c) 250 nm; (d) 450 nm depth and on the SiLK sample at: (e) 250 nm; and (f) 2050 nm.
of process parameters after optimal settings of the machine were decided based on extensive
experimentation. Details of the tester, its optimization and usefulness in studying CMP process have
been discussed earlier [215,216]. CMP process conditions have been shown in Table 18.
Atomic force microscopy was employed to investigate the surface characteristics of the films
before and after polishing. AFM experiment was performed on Digital Dimension 3100 instrument
with silicon tip.
Table 18
Testing parameters and materials for measuring wear behavior of oxides
Normal pressure Variable (1–6 psi)
Platen rotation Variable (0.2–1.2 m/s or 42.2–254.6 rpm)
Slider movement 45 mm with offset 5 mm and velocity 10 mm/s
Slurry Oxide slurry (Klebesol 1501) (100 ml/min)
Pad IC 1000 B4/Suba IV
Time 20–80 s
Upper specimen 1 in. 1 in. coupon of undoped and doped silicon dioxide
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 165
Fig. 81. (a and b) Variation of COF with down pressure (psi) and platen velocity (rpm) for SiO2 U and (c and d) for SiOF
films.
Fig. 82. Variation of COF with down pressure (psi) and platen velocity (rpm) for (a and b) SiOC SP (c and d) SiOC NSP and
(e and f) SiOC SO.
general (except for SiOF film) AE values are increasing for higher platen velocity when polishing was
performed at 1 and 3 psi. Exceptional behavior of SiOF film is not clear at this stage. Fig. 79c shows
that polishing at 6 psi will result in an increase in AE signal as the platen speed is increased to 0.8 m/s.
However, the AE signal decreased when the platen speed is increased to 1.2 m/s. Acoustic emission is
used to measure the intensity of polishing, or in other words, to measure the interaction between the
wafer surface, slurry and polishing pad. If the lower AE signal at higher rpm at 6 psi is due to
hydroplaning, the effect should have been more pronounced at lower psi, which is not the case. A
possible reason for the lower AE signal may be due to the reduced amount of slurry reaching in the
interface of pad and wafer at this higher psi and platen velocity. This may also indicate the lowering of
the polishing rate at these higher rpm and psi, and will be discussed more in details in the following
sections.
4.5.1.1. Validation of Preston’s equation. The material removal rate to validate Preston’s equation
was measured as described in Section 2. It is seen that removal rate increases with both rpm and psi for
all the samples. It is seen that removal rate decreases slightly at platen rotation 250 rpm for SiO2 U. If
this is caused by inadequate slurry-film interactions during higher platen rotation, similar effect would
have been seen for SiOF films also, and may be caused by hydroplaning effect at higher rotation.
Validity of Preston’s equation as described in Section 2 has also been tested for these different
dielectrics and the results are shown in Fig. 84a and b which show the average RR versus rpm psi for
two types of films. The linear relation between RR and rpm psi indicates that polishing of these films
follows Preston’s equation [99]. It can be seen that the data are more scattered for U-SiO2 films
than SiOF films. This may be caused by the higher mechanical polishing for SiOF films than chemical
polishing, and uncertainty in the nine-point thickness measurements after polishing using
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 167
Fig. 83. Normalized AE signal of different samples during polishing at: (a) 1 psi; (b) 3 psi; and (c) 6 psi with varying platen
velocity (rpm). Values of all the doped oxide samples are normalized with the values of undoped sample.
ellipsometer. It is assumed that the fluorine concentration does not bring a significant change to the
oxide structure. Since the hardness of SiOF is comparable to that of SiO2 U, it is safe to say that the
polishing behavior of both the materials is similar. Validation of Preston’s equation for all the SiOC
films is shown in Fig. 85. Only SiOC SP follows Preston’s equation. A very different behavior is
observed in SiOC NSP and SO samples. SiOC NSP and SiOC SO (Fig. 85b and c, respectively) do not
obey the Preston’s equation, thus indicating that the polishing mechanism is different from a typical
CMP process. The reason behind this aberration could be the dissimilarity in the chemical structures of
the SiOC films deposited by different methods. It can be inferred that films deposited by standard
precursor, SiOC SP are more suitable for polishing with typical oxide slurries, compared to SiOC NSP
and SiOC SO films. Polymeric SiOC SO film shows little change with the variation of psi rpm.
168 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 84. Validation of Preston’s equation for: (a) SiO2 U and (b) SiOF films.
For SiOC SO films little variation of COF (seen in earlier section) and materials removal rate with the
variation of rpm and psi indicate close relation of COF with the materials removal in CMP process.
During polishing, it was observed that the carbon-doped samples were hydrophobic in nature when
compared to the hydrophilic nature of SiO2 U and SiOF films. The hydrophobic nature of the carbon-
doped oxides was observed to be in the following descending order; SiOC SO > SiOC NSP > SiOC
SP. To investigate the hydrophilic or hydrophobic nature of these films, a detailed study of their
chemical nature is required. Pressure and velocity do not have the expected influence on all these
samples. SiOC SO behaves like polymer materials as the chemical interaction between the slurry and
the film may be different than that of the other oxide [217].
It can be noticed from Figs. 84 and 85 that SiO2 U, SiOF and SiOC SP follow the Preston’s
equation with the slope (m, values shown in the figure) of the curve increasing as we go from SiO2 U to
SiOC SP. MRR is also increasing in the same order. Rate of increase of MRR is higher as psi rpm
increases for SiOC SP samples. Additionally, higher MRR rate is seen for SiOC NSP sample, although
the variation with psi rpm is less. MRR for SiOC SO is comparable with all the films, but much
lower than the expected value as they are the softest films studied. From nanoindentation studies, it can
be seen that SiO2 U has the highest mechanical integrity, while SiOC SO has the lowest (Table 16). As
expected, MRR is lower for SiO2 U film. However, rate of increase of RR for other films is much lower
than the rate of decrease of mechanical integrity. The RR of softer films should be much higher during
mechanical polishing, which might not be true for chemical mechanical polishing process. This
observation may indicate that when we consider the chemical polishing occurring in CMP, it may not
follow the typical mechanical polishing behavior, suggesting that the material removal by the slurry on
reaction with the wafer surface is not only dependent on the hardness of the film, but its reactivity with
the surface of the films as well.
4.5.1.2. AFM surface investigation. To investigate the surface of the films after polishing and their
influence on the polishing mechanism, some films were scanned before and after CMP using AFM.
Films were scanned with an area of 1 mm 1 mm and surface average roughness (Ra), RMS roughness
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 169
Fig. 85. Validation of Preston’s equation in the material removal of three different SiOC films: (a) SiOC SP; (b) SiOC NSP;
and (c) SiOC SO.
(RRMS) and maximum heights (section analysis) were calculated. AFM results are summarized in
Table 19. Surface view of unpolished and one of the polished samples (3 psi, 0.8 m/s) are shown in Fig.
86. It can be seen from Fig. 86 that PECVD SiO2 U has highest surface topography with very high Ra
(2.69 nm) compared to other doped oxide films. SiOF film possesses a very smooth pre-CMP surface
(Ra = 0.14 nm), which may be caused by the smaller thickness of the film (170 nm). Among all the
SiOC films, SiOC SP has highest pre-CMP roughness (Ra = 0.6 nm), while SiOC SO possesses lowest
Ra (0.45 nm). Section analysis on the pre-CMP sample surface shows similar trend as surface
roughness. It can be seen that post-CMP surface of all the different films polished at 3 psi and 0.8 m/s,
shown in Fig. 86, possess smooth surface with material removal track on the surface. Circular material
removal track on the surface may be due to the lack of upper sample rotation in the bench-top polisher
used in this study. Roughness and section analysis on this post-CMP surface reveal very interesting
insight of the polishing behavior, which may be characteristic of their materials properties. Post-CMP
Ra value of SiO2 U polished at 3 psi and 0.8 m/s is 0.28 nm with maximum feature 1.51 nm, while
those of SiOF film are 0.27 nm (Ra) and 1.80 nm, respectively. It should be noticed that the pre-CMP
roughness values of SiOF film were lower than that of the post-CMP film. Increased roughness and
maximum height for the SiOF film may being due to the limit of polishing performance could be
achieved by the pad and slurry used in this study. Similar roughness values for SiOF and SiO2 U films
are observed and may be due to the similar nature of materials removal with this set of CMP
170 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Table 19
Summary of AFM results for the unpolished and polished at 3 psi with three different rpm
Sample (psi) Platen velocity Average roughness RMS roughness Section analysis,
(m/s) (Ra) (nm) (RRMS) (nm) vertical distance (nm)
SiO2 U
0 0 2.69 3.42 17.50
3 0.2 0.42 0.55 2.04
3 0.8 0.28 0.37 1.51
3 1.2 0.22 0.28 1.28
SiOF
0 0 0.14 0.18 0.95
3 0.2 0.30 0.39 2.06
3 0.8 0.27 0.40 1.80
3 1.2 0.24 0.31 1.48
SiOC SP
0 0 0.60 0.78 3.73
3 0.2 0.42 0.54 2.81
3 0.8 0.35 0.44 2.88
3 1.2 0.33 0.42 1.99
SiOC NSP
0 0 0.54 0.68 3.48
3 0.2 0.34 0.43 2.77
3 0.8 0.42 0.53 2.50
3 1.2 0.295 0.372 1.935
SiOC SO
0 0 0.451 0.557 2.665
3 0.2 0.483 0.612 3.634
3 0.8 0.624 0.793 4.335
3 1.2 0.335 0.416 2.342
consumables. Roughness and maximum height are higher for the SiOC films suggesting that the
mechanism of polishing that is taking place on these soft films is different. Roughness and maximum
height has increased remarkably for the SiOC SO film, whose mechanical integrity is the lowest
among the three SiOC films. Removal of materials for SiOC SO films may be mostly due to higher
mechanical shear. It is seen in the earlier section that MRR for SiOC SO does not follow Preston’s
equation. SiOC SP follows the Preston’s equation in MRR while SiOC NSP follows Preston’s equation
a little better than SiOC SO film. AFM results along with the irregular MRR results for the SiOC SO
strongly suggest the different mechanism of MRR.
Another interesting feature could be seen in surface finish after polishing at different platen
velocity. The variation of RRMS and maximum height (vertical distance) with different platen velocity
at 3 psi down pressure were plotted in Fig. 87a and b, respectively. Most of the films show lower
roughness and maximum height at higher platen velocity. For film SiOC SO, values increase until the
platen velocity reaches to 0.8 m/s and then decrease. It is also seen from Fig. 87 that SiO2 U possesses
highest degree of planarity (lowest roughness and maximum height) and planarization decreases with
the decrease of the mechanical integrity of the films. Lower roughness and maximum height at higher
platen velocity may be due to lower and uniform pad deformation and uniform film–pad contact during
polishing. This is also in agreement with the finite element modeling of pad deformation effect in CMP
proposed by Bastawros et al. [218].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 171
Fig. 86. Surface view of unpolished and polished low-k films. Polishing was performed at 3 psi and 0.8 m/s platen
velocity.
4.5.1.3. Wear mechanism. All the films were polished with Klebosol 1501 slurry (pH 10.5) contain-
ing silica abrasives. In chemo-mechanical polishing, the reactivity of the slurry with the film surface is
an important step in the complex materials removal process. Reactivity of the slurry with the film
surface is highly dependent on the nature of the chemical bonding of the atoms in the film. SiO2 CMP
is one of the best understood CMP processes, since it has been studied for many years. It is widely
172 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 87. Figures show the variation of RMS roughness (a) and vertical distance (b) with platen velocity.
believed that water diffuses into the oxide network and causes the rupturing of Si–O bonds [121].
Oxide surface weakening happens through the following equation: BBSi-OSiBB þ H2 O $ BBSiOH.
Once all of the Si–O bonds for a given Si atom are hydrated, Si(OH)4 is formed which is highly soluble
in water at high pH. The overall reaction is: ðSiO2 Þx þ 2H2 O $ ðSiO2 Þx1 þ SiðOHÞ4 . These
reactions are accelerated by the compressive stress imposed into the surface by the abrasive particles.
It has to be mention that Klebosol 1501 slurry has a silica abrasive which has equal hardness with the
oxide surface and hence mechanical abrasion with the abrasive particles (particle indentation) will be
negligible for oxide surface compared to softer film surface. In case of SiOF film, polishing with same
oxide slurry leads to higher removal rate. Fluorine incorporation causes termination in the silica
structure and less dense Si–O network, which leads to lower mechanical integrity [219,220] of the
films. Reactivity of SiOF film with H2O is higher due to the presence of defect sites such as
non-bonding oxygen atoms and free volumes around Si–F bonding [221]. Si atoms linked with
multiple F atoms have high reactivity with OH ions and H2O [219]. Higher absorption of H2O in
SiOF network may also be enhanced due to the higher Si–O–Si bond angles in the SiOF films.
Higher bond angle was confirmed by Kim et al. with observed blueshift in the Si–O stretching
vibration model [194]. Removal of SiOF films with oxide-based slurry occurs similarly as removal of
SiO2 U film. Due to high water intake in the SiOF network and lower mechanical integrity of the film,
the removal rate of this film increases accordingly. In this study, it was observed that although removal
rate of SiOF is similar to that of SiO2 U film at lower psi rpm, this RR is much higher at higher psi
rpm (Fig. 84).
In order to investigate the material removal mechanism of PECVD SiOC film and spin-on SiOC
film one has to consider the chemical change during polishing, the removal rate and surface roughness
after polishing. SiOC dielectric family can be obtained by CVD or spin-on methods and they can be
described as a hybrid between organic and inorganic polymer. In silicon oxide lattice, alkyl groups
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 173
Fig. 88. Mechanism of materials removal of SiOC by slurry chemistry and shear.
such as –CH3 could be bonded with Si, resulting in the introduction of carbon in the lattice. The carbon
in the SiO2 lattice leads to the formation of high-density nanopores (4–14 Å) [220], which causes the
reduction of density, dielectric constant and mechanical integrity. Now investigating our experimental
results on the SiOC films we first discuss the polishing mechanism of these films using the model
suggested by Borst et al. [192] and then we propose a separate material removal model for the soft
dielectric film. It is believed that oxide slurry and ambient water do not attack Si–C or C–H bonds, but
attacks Si–O bonds, and material removal occurs through scission of Si–O structural bonds [192]. In
this case, the materials are removed in groups of silicon which are attached with carbon groups, and the
mechanism is shown in Fig. 88.
Among the three SiOC films, the SiOC SP follows Preston’s equation, whereas SiOC NSP and
SiOC SO do not follow. Also, all these SiOC films have higher removal rate than SiO2 U film. It has
been mentioned earlier that rate of increase of removal rate of these softer films is not equivalent to
their decrease of mechanical integrity. Furthermore, SiOC SO is much more hydrophobic than SiOC
SP. The AFM analysis shows that surface roughness is increasing as the mechanical integrity of the
films decreases and the highest maximum height estimated in the SiOC SO film. Fig. 89 compares the
maximum height of SiO2 U and SiOC SO film after polishing. It is proposed in this study that in case of
softer films, especially films of polymeric nature, material removal is more similar to a two-body
abrasion. Luo and Dornfeld in their material removal model suggested that in solid–solid contact
material removal is mainly due to two body and three body abrasion [123]. Materials removal by a
abrasive particle attached with the pad is referred to as a two body abrasion whereas particles moving
freely in the pad–wafer interface are involved in three body abrasion. Silica abrasives are much harder
than these films and similar to SiO2 U film. Penetration of these abrasive particles (acting as moving
indenter) into the film surface will be higher in the softer films. In a solid–solid contact and assuming
the abrasive particles of average diameter of the particle is r the depth of penetration of the abrasives
into the film surface can be estimated from this expression. Penetration depth z ¼ 2F=prHf , where F is
the force applied on each particle and Hf is the hardness of the films [124]. Being in the denominator,
hardness of the films inversely affects the material removal and surface roughness of the films. It can
be seen from Fig. 89 that vertical distance in the film SiOC SO is much higher than that of SiO2 U film,
as the SiOC SO film has much lower hardness than that of SiO2 U film. Material removal in this soft
film is more like ploughing with a spherical indenter. Higher depth of grooves could be seen on the
polished SiOC SO film (Fig. 89b) which is the softest film and polymeric in nature. Lower chemical
174 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 89. AFM section analysis on the post-CMP surface of: (a) SiO2 U and (b) SiOC SO films.
reactivity on this hydrophobic surface may be the reason for lower rate of increase of materials
removal, although their mechanical integrity is much lower than that of SiO2 U film.
4.5.2.1. Polishing behavior of SiLK dielectric material. Hartmannsgruber et al. [217] studied the
polishing behavior of blanket SiLK films using alumina-based slurry QCTT 1010 (RODEL) diluted
with 30% H2O2 at a volume ratio of 3:1. CMP was carried out using a STEAG Mecapol E 460
polishing machine with a perforated IC 1000A/Suba IV stacked polishing pad (RODEL). The CMP
parameters were a downward pressure of 22 kPa (3.2 psi), a backing pressure of 9 kPa (1.3 psi) and a
platen and wafer rotation speed of 50 rpm. SiLK resin with a thickness of 1.8 mm was coated over Al
test patterns having a height of 750 nm (Fig. 90). Their target thickness after polishing was 1.1 mm.
They investigated the planarization capability of the CMP process with evaluating surface
topology of SiLK dielectric as a function of polishing time. The topography of the SiLK polymer
caused by Al lines with widths of 0.5–400 mm before the CMP process is summarized in Table 22. A
well-known definition to describe the achieved planarity after the planarization process is step height
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 175
Fig. 90. Time and patterned dependent planarization of SiLK using the QCTT 1010 slurry [217].
The term step height reduction is normally only used after the complete polishing process.
However, for the purpose of characterizing the polishing process itself, they have calculated the step
height reduction after several intervals during polishing. The polishing time of each step was 10 s. The
highest steps in the SiLK dielectric layer are caused by Al lines with a width of 400 mm (see Table 20).
Step height reductions of more than 90% were achieved after only 40 s polishing time. The target
thickness of 1.1 mm was achieved after a polishing time of 60 s and a SHR of more than 95% was
measured. The higher values for SHR were measured, as expected, for the larger pattern, the higher
topography of which was planarized faster than the lower steps caused by the smaller structures. The
differences of the SHR between the chip located in the wafer center and edge are small and can be
attributed to the process non-uniformity, which was not optimized for this study.
A comparative AFM investigation was made of the SiLK polymer surface before and after CMP.
The AFM scans before CMP (Fig. 91) illustrate that the step height caused by five Al lines with a width
of 1 mm is reduced from approximately 40 to <5 nm. The roughness of the SiLK coatings was
determined at Al lines with a width of 6.25 mm after CMP and lead to post-CMP values of 1.1 nm
(Rms) and 4.0 nm (Rmax) (Fig. 92). A slight increase of surface roughness was observed after polishing
Table 20
SiLK step height determined by profilometry [213]
Profilometry AFM
Identical width and space between the line (mm) 400 200 100 50 25 6.25 1.00a 0.50b
SiLK step height (SH) (nm) 739 697 100 50 53 47 42 46
a
The results of time and pattern dependent CMP planarization are summarized in Fig. 1. All features are planarized
within less than 1 min.
b
AFM measurement over five lines.
176 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Table 21
Experimental details of slurries used for BCB polishing [188]
Main components Surface additive Oxidizer Abrasive (1.0 wt.%)
Al2O3 (mm)
Control DI H2O2 HNO3 (1 vol.%) None None 0.05
Slurry 1 DI H2O2 HNO3 (1 vol.%) Triton-X 100 non-ionic (1 vol.%) None 0.05
Slurry 2 DI H2O2 HNO3 (1 vol.%) Dow Fax 3BO amionic (1 vol.%) None 0.05
Slurry 3 DI H2O2 HNO3 QCT 1010 Unlisted commercial additive H2O2 (3.3 vol.%) 0.05
Slurry 4 DI H2O2 HNO3 QCT 1010 Unlisted commercial additive H2O2 (3.3 vol.%) 0.30
Note: Control slurry is standard Cu slurry used to detect the effect of surfactants.
in the Cu slurry. Some micro-scratches with a depth of less than 10 nm caused by agglomerated Al2O3
particles in the slurry were detected. However, the level of micro-roughness is probably close to
meeting the requirements of the subsequent process steps. A further reduction in surface defectivity is
expected using improved slurry filtration methods. Their results illustrated the potential of this
dielectric material for integration into existing Al/W-based interconnect technologies.
4.5.2.2. Polishing behavior of BCB dielectric material. To investigate the polishing behavior of the
BCB polymeric materials, unpatterned samples of BCB 3022 and BCB 5021 were polished in different
slurries as shown in Table 21 by Borst et al. [192]. Oligomeric solution (35 wt.%) was spin deposited
and the cured at 250–300 in N2 ambient. The BCB polymer was polished to study the output
parameters such as (1) removal rate; (2) surface topography; and (3) post-CMP polymer surface
chemistry.
Removal rate. Fig. 93 shows the variation of removal rate of the BCB samples in different slurries.
The experiments were carried out at 2.5 psi down force, 30 rpm carrier, 30 rpm platen speed and
200 ml/min slurry flow by Borst et al. It can be seen from the diagram that the control slurry
which does not have any surfactant does not show significant removal rate of the BCB while slurry 4
showed the highest removal rate. As BCB is basically hydrophobic in nature, there is latency in
material removal. The low removal rate according to Borst et al. is also due to the lack of polymer
weakening surface reaction of the slurry and formation of a passivation layer which protects the BCB
surface.
Post-CMP surface topography. The results of atomic force microscopy studies performed by
Borst et al. are shown in Table 22. There is an increase in the RMS surface roughness when BCB is
Fig. 93. The removal rate of Dow Chemical 3022 BCB in different slurries [192].
178 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Table 22
Surface roughness data for BCB polishing [2]
Time Removal rate (nm/min) RMS roughness (nm) Comments
Unpolished – – 0.45 Smooth, as deposited
Control 8.0 0 Not measured Extensive visible scratching
Slurry 1 5.5 10–15 1.4 Controlled abrasion after latency period
Slurry 2 8.0 10–15 0.7 Controlled abrasion after latency period
Slurry 3 8.0 10–15 0.5 Controlled abrasion after latency period
Slurry 4 7.0 45–55 1.3 Controlled abrasion after latency period
polished in all the candidate slurry. However, the values of surface roughness are different for different
slurries. The added surfactant shows considerably reduced surface damage. The deposition of
surfactant not only enhances slow and progressive material removal but also prevents significant
materials damage. Fig. 94 shows the post-CMP surface topography of BCB.
Post-CMP BCB polymer surface chemistry. The post-CMP polymer surface chemistry evaluation
was performed by Borst et al. using X ray photoelectron spectroscopy (XPS) as seen in Fig. 95a–c. The
studies were performed using the angle resolved technique which probes 1–10 nm deep in the surface.
Different angles gave the composition of bonding and various incident depths. Samples were
measured after performing CMP with slurry 4. Table 23 shows the post-CMP altered surface layer
atomic concentration. The post-CMP oxygen atomic percentage increased from 3 to 14 wt.%. The
increase in atomic weight percentage was reflected in carbon peaks in form of –C–O and –C=O bonds.
The constant oxygen content suggested that after sustained exposure to slurry, the oxidation reached
equilibrium. The stabilization and hardening of the surface and resistance to scratches and damages
during CMP was attributed to the oxidation of the surface.
Table 23
Post-CMP surface atomic concentration of BCB polymer studied by XPS [188]
Sample Angle (8) Depth (nm) F (1s) (at.%) O (1s) (at.%) C (1s) (at.%) Si (2p) (at.%)
BCB Unpol 90 10 – 3.5 ( 2.2) 90.5 ( 4.0) 6.0 ( 0.4)
BCB 20 1–2 – 14.3 ( 2.2) 80.8 ( 4.0) 5.0 ( 1.2)
BCB 45 5 – 14.3 ( 2.2) 81.2 ( 4.1) 4.5 ( 0.2)
BCB 20 10 – 14.8 ( 2.2) 80.6 ( 2.0) 4.6 ( 0.2)
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 179
Fig. 95. (a) XPS spectra of BCB 5021 after CMP with slurry 4 for 10 min; (b) binding energy windows for oxygen (1 s); and
(c) carbon (1 s) bonds show increased –C–O– and –C5O bonds at the BCB surface [222].
equation has proved to be reasonably accurate for SiO2, Cu and W (tungsten) CMP from the work
reported by others. But the dependence of Kp on process variables like slurry composition and pad
properties was not well understood.
Experimental results show that the slurry composed of abrasives and pad materials, has larger
influence on material removal rate than just the platen speed and down pressure [226–229]. Several
models that predict and explain the material removal mechanisms in CMP have been reported [99,226–
231], most of which are based on the mechanical aspects of CMP. Some of the important aspects in
addition to pressure and velocity are properties of consumables like the pad and slurry. Minute details of
the pad: like the asperity distribution, asperity height and asperity radius also have shown to affect the rate
of material removal [232]. Oliver [233] proposed an asperity contact model for CMP. Their results
indicate that the polish rate is a sensitive function of the asperity height distribution. A modification to
Preston’s equation to account for the dependencies of removal rate on pressure and rotational speed
during CMP process was made by Tseng and co-workers [219]. They proposed (MRR) = MP5/6V1/2,
where M is the weighting factor to removal rate from other processes like slurry attack. Shi and Zhao
[234] proposed another model that was contrary to Preston’s model. Their experiments were carried out
using a soft polishing pad. They proved with experimental results that pressure dependence of the
removal rate for CMP with soft pads is non-linear. They also stated that there is a difference between
polishing with a hard pad and a soft pad. Their model states that (MRR) = KszP2/3V, where Ksz is a
function of other CMP variables. In the case of soft pads, pad hardness is much less than the hardness of
the abrasives and the wafer surface. Certain important factors are not considered in this model, for
example, if the contact area increases there will be a decrease in the force applied on the abrasives, which
will lead to smaller amounts of material removed by each. Shi and Zhao [234] recognized this limitation
of the model and introduced a threshold pressure Pth, arguing that only when the down pressure is larger
than the threshold pressure material removal will occur. They revised the earlier equation and proposed
2=3
an equation to include the threshold pressure, which is given by MRR ¼ KVðP2=3 Pth Þ, what is
exactly included in the all-purpose coefficient K is still unclear [219]. Most of the models mentioned
above do not take all possible scenarios into consideration. Some of them studied the behavior of pressure
and velocity in contrast to Preston’s equation. For example, Zhang and co-workers [235,236] proposed
an equation MRR = Kp(PV)1/2 taking into account the normal stress and shear stress acting on the contact
area between abrasive particles and wafer surfaces.
However, most models were quite inadequate. Few researchers have considered only the pad
effects while few others have considered only the effects of slurry flow. As the knowledge of CMP
process and the role of consumables improved over the years, the material removal rate models also
improved. Ahmadi and Xia [231] proposed a model for mechanical wear in CMP process by taking
into account different possible cases. Basically mechanical contact theory was used to develop a model
for pad asperities with abrasive particles in slurry and wafer. Different cases of pads (hard and soft),
slurries (dilute and dense) were analyzed. In their work the material removal rate variation with
pressure, abrasive size and concentration as well as pad characteristics (asperity distribution, pad
elastic and plastic deformation) were studied. According to Ahmadi and Xia [231] the wear in CMP
occurred in four different ways; abrasive wear, adhesive wear, corrosive wear and erosive wear. They
believed that in CMP, abrasive and adhesive wear, are the main wear mechanisms. Their removal rate
model stated that MRR = sRRabrasive + (1 + s)RRadhesive, where s is the probability that the abrasive
particles will roll against the wafer during CMP. Their paper includes polish rate models for different
cases like removal by abrasive wear and removal by adhesive wear, each of these cases having sub
cases like abrasive wear with hard pad and dense slurry, abrasive wear with hard pad and dilute slurry,
adhesive wear with soft pad and dense slurry and adhesive wear with hard pad and dense slurry with
plastic deformation etc. But even here the chemical effects on CMP were not considered.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 181
Apart from models that predict removal rate, a few models that control the CMP process by a run-
by-run controller design were also proposed in literature [237]. Many models, some based on fluid
dynamics, some on contact mechanics, some physics-based models, some chemistry-based models,
some statistics-based models and some mathematical models were proposed by several researchers
[238–243]. Most of the models worked on improving the Preston’s equation as Preston’s equation
could not express exactly the effect of consumable properties on the removal rate. Also it could not be
used for accurate removal rate prediction. A model proposed recently by Luo and Dornfeld [123] is the
subject of investigation in this paper. This model was chosen in comparison to most other models
existing in literature because it not only includes macro scale details of the process but also micro
scales details associated with the consumables used. Their model is focused on studying the material
removal occurring due to contact between the abrasive–pad and abrasive–wafer interfaces. Their
model integrates process parameters including pressure and velocity in addition to other important
input variables like pad and wafer hardness, pad roughness, abrasive size, abrasive size distribution
and abrasive geometry and is given by the basic expression
MRRmass ¼ rw NVolremoved þ C0 (4.5a)
where the mass of material removed (MRRmass) is equal to the amount of material removed
(Volremoved) by a single particle of the slurry in unit times the number of particles actively involved
in material removal (N). rw is the density of the wafer material and C0 is the material removed
due to chemical etching. The above stated equation gives a skeleton representation of the model. The
detailed expression and explanation of the model with the assumptions and derivations are given
in the coming sections of the paper. From the above discussion it is seen that the CMP process is a
complex process because of the various factors that should be considered in order to characterize the
process and achieve a globally usable model. Luo and Dornfeld proposed one such model in 2001
[125].
The three-dimensional fluid-mechanics and mass-transport CMP model developed by Sundar-
arajan et al. [244] and Thakurta et al. [245,246] is the framework for solving complex multi step CMP
reaction kinetics equations. Certain assumptions such as laminar flow, infinitely hard pad, no
asperities, wafer thickness, etc. need to be made to elucidate the model output. Borst et al. [192]
proposed that a CMP model for polymeric dielectric like SiLK in terms of five step surface
mechanism that can be represented mathematically and solved using fluid mechanics and mass
transport equation. The five steps of SiLK CMP can be listed as (1) mass transport of reactant from the
bulk slurry to the slurry/wafer interface; (2) adsorption of reactant to available SiLK polymer surface
sites; (3) reaction between adsorbed reactant and specific SiLK polymer surface sites to form an
altered polymer surface layer; (4) shear-enhanced desorption of weakened altered polymer surface
layer; and (5) mass transport of polymer product from the slurry wafer interface to the bulk slurry. Fig.
96 shows the multistep surface mechanism including the forward and reverse reaction, surface
mechanism of forward and reverse reactions. Conservation of surface sites in this manner is crucial to
representing the model using this modified L–H formulation. The mathematical formulation of the
surface reaction, mass transport and slurry–surface interaction is elaborately discussed by Borst et al.
[2]. Each of the equation is related to some boundary conditions and can be solved in groups by
applying boundary conditions such as flux of the reactants. This information is used to calculate a flux
of CR to the wafer surface and CP away from the wafer surface, which is related to the CMP removal
rate
1 MWP @CRi
RR ¼ DR (4.6)
n rP @z
182 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
where RR is the predicted SiLK removal rate, n is a stoichiometric constant equal to the number of
reactant molecules and polymer reactive sites required to sufficiently weaken one section of the
SiLK polymer structure, MWP is the molecular weight of one altered section of the SiLK polymer
structure (the product that desorbs from the wafer surface), rP is the density of the altered polymer
product, and DR is the diffusivity of the reactant component in the slurry. The experimental results
used to validate the model have been detailed by Borst et al. [192].
The deposition of conformal and uniform polymeric low dielectric constant films poses a
challenge. There is also the ensuring that a defect free porous thin film gets deposited by methods such
as spin on deposition and CVD. Furthermore, the challenges for low-k materials also include the CMP
feasibility and integration in Cu damascene structure [245]. Fig. 97 shows the increase in porosity with
decrease in dielectric constant.
The low dielectric constant materials that are integrated in the present day semiconductor
industry are indicated in the shaded area [245].
Fig. 97. Relative dielectric constant (k-value) as a function of porosity for different dielectrics [205].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 183
The major low-k issues that have been elaborated by Shamiryan et al. [205], namely: (1)
hydrophobicity, (2) mechanical stability, (3) thermal stability, (4) chemical stability and physical
stability, (5) compatibility and (6) reliability have been discussed in this section.
4.7.1. Hydrophobicity
It is an absolute necessity for a low-k material to be hydrophobic. This is dues to the extremely
polar nature of the O–H bonds. The dielectric constant of water is close to 80 and any presence of even
small quantity of water that might be absorbed from the environment significantly tends to increase the
k value of the materials. As water is abundant in air and even controlled environments have a humidity
of 40–60%, it is a imperative that the low-k material is designed to prevent degradation in presence of
such moisture content. Due to their large surface area per unit volume which could potentially
encourage attack by water, porous materials needs to especially designed to withstand high moisture
conent in the environment. Hydrophobicity is usually achieved by the introduction of Si–H or Si–CH3
bonds. Oxygen-free organic polymers are generally hydrophobic.
Fig. 98. Mechanical properties (Young’s modulus) of low-k films as a function of porosity [205].
Fig. 99. A schematic representation of a thin film deposited on a porous material with: (a) separated mesopores connected by
microchannels and (b) interconnected mesopores. As porosity increases, the mesopore connections make the deposition of a
continuous film more difficult. The photos show examples of barrier integrity tests by HF dip. A fully continuous barrier (c)
prevents HF from attacking the underlying dielectric, but discontinuities or ‘pinholes’ in the barrier allows HF to attack the
dielectric (d) [205].
decreases, making their lifetimes unacceptably short. Cu diffusivity drastically increases with
dielectric porosity. There is no allowable tolerance as far as the barrier stopping Cu diffusion is
concerned. The barrier must be nanometer scale and should be devoid of all pin holes. Covering the
porous dielectric material with such a barrier is a challenging task. If the material is highly porous with
large pores connected to each other, the barrier may have to be unacceptably thick in order to bridge all
the exposed pores. It should be noted that the barrier itself should not penetrate into the porous
material, which is a possibility with some deposition techniques. Deposition of an effective barrier is
facilitated if the dielectric material used is nonporous.
Good adhesion of the low-k material and the barrier layer is one of the prime requirements of a
damascene structure. Otherwise, the barrier can delaminate because of the mechanical stresses
induced by polishing or thermal cycling. This defect of delamination leads to catastrophic failure in
CMP process there by significantly increasing the machine down time and increasing the costs
involved to restart the whole fabrication process. Adhesion can also become more of an issue as the
porosity of low-k materials increases, as increase in porosity decreases the surface area of contact there
by decreasing the adhesion strength of the dielectric.
4.7.6. Reliability
There are several issues with the reliability of not just the low-k materials, but all the materials
incorporated in the modern day IC as they have to survive the typical user environment for significant
amount of time without any degradation in performance. However, the porous dielectric materials,
especially the polymeric type are considered the weakest links. The thermal conductivity of the
materials has an adverse impact on the porosity. Consequently, heat dissipation in the wires leads to
increased electro-migration of Cu. There is also a chance of failure of Cu wires by hillock formation as
the Cu wires are not firmly encapsulated in dielectric due to the emergence of phenomena of
electromigration and diffusion. Furthermore, the thermal conduction mechanism in the newly
developed materials needs to be studied in depth to assure the long lifetime of the final circuit.
186 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
In summary, polishing behavior of carbon and fluorine-doped silicon dioxide and other polymer
low-k materials have been discussed. Nanoindentation studies show that undoped SiO2 film has the
highest mechanical integrity where as spin-on SiOC film shows the lowest. SiLK and xerogel also
show very poor mechanical properties. Spin-on SiOC film and SiLK show significant amount of creep
due to the polymeric nature of the film. Variation of COF and AE signals has been studied and their
variation with machine parameters was discussed. Difference in the variation of the COF for all the
films may be caused by their dissimilar interaction of the slurry selective to undoped oxide film and
different nature of their surfaces. Undoped SiO2 film produces highest AE signals among all the films
due to the higher interaction of the film surface with the slurry. Material removal for undoped SiO2,
SiOF and SiOC film, grown with standard precursors, follow Preston’s equation, whereas for SiOC
films grown with non-standard precursors and spin-on method do not follow. Slightly higher removal
of materials is found for the films having lower mechanical integrity. AFM surface measurement
shows highest surface roughness and maximum height for the undoped SiO2 film before polishing
whereas those are highest for the SiOC spin-on film after polishing. Interaction of slurry on the film
surface is due to the reaction with H2O and OH ions and removal of the softer top surface due to the
shear of film and pad surfaces. In addition to that it is proposed that material removal from soft films is
due to the moving indentation of the hard abrasive particles. Several new generation low-k materials
have to be porous, soft and polymeric. Hence it is very important to characterize their mechanical,
tribological and surface properties. Correlation between performances of CMP with tribo-mechanical
properties of these materials will help to understand the fundamentals of the CMP process and
optimize it.
The hardness of Cu is significantly lesser than the slurry abrasive particles which are usually
alumina or silica. Thus chemical action on Cu to form a harder oxide is essential before mechanical
abrasion of Cu. With the all important and decisive role of chemistry in Cu removal, the understanding
of electrochemistry and the chemistry in Cu removal gives an insight in to the fundamentals of
Cu polishing. In this section, the physical aspects, chemical aspects and defects of Cu CMP are
discussed.
Surface layer formation, metal solubility, and metal dissociation can be explained by electro-
chemistry and dissolution of the abraded material is governed by electrochemical reactions such as:
Cuþ
2 þ 2e $ Cu (5.1)
2Cuþ
2 þ H2 O þ 2e $ Cu2 O þ 2H
þ
(5.2)
Copper CMP has several important differences to tungsten and aluminum CMP. The hardness of
copper (1–3 GPa) falls between that of tungsten and aluminum. Thus Cu is easier to abrade than W
and can be removed with less scratching than Aluminum. The electrochemical potential of Cu shows
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 187
that it more noble than Al and W and hence, special slurry action is needed to oxidize Cu without
corroding it. When compared with the work of Liang et al. [247] on tungsten polishing, stark
differences can be observed and these differences are important to consider in designing and
understanding a copper CMP process.
The removal of Cu takes place as follows: (1) dissolution of Cu to form thin few atomic layers
thick layer of oxides of Cu; (2) mechanical removal of the abraded material using the slurry particle
abrasives; and (3) sweeping away of the abraded material suspended in the solution by slurry flow and
pad [56] (Fig. 100).
The chemical action of the slurry and mechanism of material removal of Cu when polished in a
slurry containing fumed alumina (3.1 wt.%) with a median particle diameter of 220 nm, and a
commonly used complexing or buffering agent (phthalic acid salt) have been discussed by Hernandez
et al. [66]. An illustration synergy of mechanical removal and chemical action where in different
copper oxide species exist as a result of increasing and decreasing pH of the reacting slurry have been
shown in Fig. 101. As shown by Hernandez et al, when Cu comes in contact with the slurry, complex
oxides of Cu comprising of CuO, Cu2O, compounds of Cu with the buffering agents such as Cu
phthalate salts, Cu(OH)2 are formed. The composition of the surface strictly depends upon the
interplay of the various species in the slurry. This layer on the surface is then removed using the
abrasives in the slurry at the interface between the wafer and the pad and is then washed away with the
Fig. 101. Summarization of Cu chemical etching and mechanism removal synergy in Cu CMP [67].
188 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
slurry. Fig. 100 shows the schematic of complex surface layer formed on the surface of Cu being
removed by abrasive slurry particles.
The material removal mechanisms for Cu when polished in different media have also been
studied. Tsai et al. [248] have studied the mechanical, chemical, oxidation effect of urea–H2O2 slurry
medium on Cu using the electrochemical impedance spectroscopy (EIS) technique, while the CMP of
Cu in alkaline media have been evaluated by Luo et al. [249]. The effect of slurry on Cu polishing
when investigated by Nguyen et al. [250] showed that while static etch of Cu is very low, removal rate
of Cu is very high during polishing. This reinforces the theory that there is passivation layer formed on
Cu surface which is then removed by the abrasive particles and polishing pad. Thus, the studies
indicate the large dependence and variation in the Cu chemical reaction when polished in different
slurries [251].
The mechanism of polishing Cu at various dynamic and static polishing conditions in acidic H2O2
slurry has been investigated by Du et al. [252] to shed light on the removal mechanism of Cu in acidic
medium, something which is not thoroughly understood in spite of large scale research in Cu polishing
[166]. Du et al. performed experiments on Cu disks that were thoroughly cleaned using organic
solvents and distilled water. Du et al. observed stark difference in the static etch rates and dynamic
removal rate of Cu in acidic medium of four pH using H2O2 oxidizer. This shows the significant
contribution of the mechanical component to the removal Cu during the CMP process. The removal
rate increased with increase in H2O2 concentration up to a certain point following which there is
drastic decrease in the removal rate with further increase in H2O2 concentration. This trend is in
agreement with previous results [253,254] as the decrease in removal rate is attributed to increase in
surface passivation (Fig. 102).
Fig. 103 shows the corrosion current density and the electrochemical potentials measured at
different peroxide concentrations. The corrosion current density curve follows a trend consistent with
that observed in Fig. 102. Fig. 103 shows that the anodic reaction of Cu is inhibited by increase in
H2O2 concentration which in turn causes this trend of material removal rate. The increase in
passivation causes an oxide layer that inhibits the flow of ionic current there by decreasing the
material removal.
To illustrate the passivation mechanism with regard to time scale, an open circuit potential (OCP)
measurement was performed in situ during polishing by Du et al. [252] at different H2O2 concentra-
tions. Fig. 104 shows the (OCP) measurements as recorded by Du et al. [252]. The measurements were
recorded over a period of 10 min, 2 min after commencement of polishing and restarted after 4 min
after the completion of recording. The symmetric curve obtained by Du et al. clearly shows the
removal, growth and removal of passive oxide Cu in acidic medium in presence of H2O2.
It can be seen from Fig. 104 that there is a dramatic increase in OCP when polishing is stopped for
1% H2O2. The increase in OCP has been attributed to growth of the oxide film by Du et al. The sudden
decrease in potential once polishing started has attributed to competitive phenomena of growth and
removal occurring simultaneously during polishing. For Cu being polished in 5% H2O2, the potential
jump decreased. It can inferred that this occurrence is due to the comparable rate of passive film
formation and removal. This explains the decrease in removal rate with increase in H2O2 concentra-
tion. The decrease in OCP with further increase of H2O2 to 10% shows that rate of film formation is
much higher than removal and this explains the further decrease in Cu removal rate.
Fig. 105 shows the variation of surface roughness at diferent aforementioned H2O2 concentration
as shown by Du et al. It can be seen from the diagram that surface roughness increases (from 1 to
Fig. 104. Open circuit potential (OCP) measurements for Cu polishing in acidic medium for: (1) no H2O2; (2) 1% H2O2; (3)
5% H2O2; and (4) 10% H2O2 [252].
190 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 105. Variation of surface roughness with: (a) no H2O2; (b) 1% H2O2; and (c) 10% H2O2 [254].
3.1 nm) with increase in peroxide concentration (from 1 to 10%) as the increase in passivating oxide,
which is essentially amorphous, considerably increases the surface roughness. This also shows that
material removal at high H2O2 concentration is essentially mechanical and hence shows lower removal
rate. As the surface roughness is also an important aspect of the CMP output parameter, along with
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 191
removal rate, it will be advisable to perform the CMP process at 1% H2O2 concentration under these
conditions of 4 pH as removal rate is compromised when no H2O2 is added, thus severely jeopardizing
the CMP process effectivity. Based on these results and the X-ray photoelectron spectroscopy of the
Cu surface in acidic medium in presence of the H2O2 oxidizer Du et al. proposed that for low peroxide
concentration, the mechanism of material removal is electrochemical, while for very high peroxide the
removal is controlled mainly by mechanical abrasion and in medium concentration of peroxide dual
mechanisms exist [252].
It can be seen from the Pourbaix diagram that Cu can be passivated both in alkaline and neutral
medium apart from the acidic medium as discussed above. The use of H2O2 as an oxidizer has proved
very effective in alkaline, acidic as well as neutral medium [166,254–258]. Ammonium ion is
generally used to passivate Cu in alkaline medium as it has the ability to form different complexes with
Cu [254–258]. However, the ammonium ion as shown by Steigerwald et al. showed affinity towards
the barrier layer as well and hence, the mechanism of polishing of Cu in alkaline medium needs to be
further investigated to improve the selectivity of the slurry [254]. Luo et al. [249] studied the effect of
ammonium hydroxide on the CMP process and its removal rate. Fig. 107 shows the effect of
ammonium hydroxide concentration on CMP removal rate in Strausbaugh 6C CMP polisher as shown
by Quo et al.
It can be seen from Fig. 106 that polishing rate is about 130 nm/min when Cu samples are
polished without the presence of ammonium hydroxide in the slurry. At ammonium hydroxide
concentration of about 0.3 wt.%, the polishing rate increases to about 210 nm/min. Further increase in
the concentration of NH4OH does not have a significant impact on the removal rate of Cu in the slurry
when Quo et al. kept the polishing conditions same.
The polarization curves measured with the Struers DAP-V Polisher are shown in Fig. 107 at
various NH4OH concentrations. The Cu-oxide film that is formed on the surface due to the chemical
action of ammonium hydroxide is removed by mechanical abrasion. The polarization curves have
been measured by Luo et al. [249] during polishing. The leveling-off observed in the anodic branches
Fig. 107. Polarization curves at various concentrations of NH4OH measured with the Struers DAP-V polisher. The table
speed was 75 rpm and the peed of the disk holder was zero [249].
could be due to the change in the oxidation state of copper from Cu+ to Cu2+. The OCP, E decreases
with NH4OH concentration from corr 40.3 to 0.9% and remains constant. As shown by Quo et al., the
calculated corrosion current density I is only about 1 nArcm, which means that there is a very small
part played by chemical action during polishing. This goes on to show that the mechanism of Cu
removal mechanism is mainly mechanical due to the slow dissolution rate of ammonium hydroxide as
previous shown by Steigerwald et al. [258].
Ammonium hydroxide is known to be a complexing agent and dissolution mechanism has been
discussed in literature [259]. The time dependent changes in the low impedance spectrum of the Cu in
1% NH4OH solution which show the mass transport through the surface layer as shown by Carpio et al.
[260] (Fig. 108) are also consistent with the dissolution mechanism and slow rate of dissolution of Cu
in ammonium hydroxide as discussed by Harpen et al. previously.
Ein-Eli et al. [261] studied the electrochemical behavior of Cu in nitric acid solution of 0.2, 1 and
3 vol.% concentration. The potentiodynanmic profiles of Cu samples in nitric acid of different
concentration as obtained by Ein-Eli et al. are shown in Fig. 108. Ein-Eli et al. obtained these curves
Fig. 108. Polarization curves of Cu obtained at different nitric acid concentration at the scan rate of 1 mV/s [261].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 193
after sustained exposure of Cu samples to the solution. Ein-Eli applied the potential sweep once the
steady value of corrosion potential was reached. It can be clearly seen from Fig. 108 that the onset of
anodic current was even before any voltage was applied and the current increase with the shift in
potential and this indicated active dissolution of Cu in nitric acid. The increase in nitric acid
concentration also significantly increased the potential and the anodic current. This showed the
vigorous action of nitric acid on the Cu surface with increase in concentration. Ein-Eli et al. observed
that the peak of the polarization curve was around 50 mV below the corrosion potential. It also must be
noted that the cathodic peak reduces with increase in nitric acid concentration in the data obtained by
Ein-Eli et al. Ein-Eli et al. proposed that the aforementioned phenomenon occurs due to the fact that
there is a decrease in the amount of precipitants with increase in solubility of Cu at higher
Fig. 109. Scanning electron micrographs of Cu samples in 3 vol.% nitric acid after: (1) 1 min; (2) 1 h; and (3) 1 h at different
magnification [261].
194 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Table 24
Corrosion currents, corrosion potential and corrosion at different nitric acid concentration [257]
Concentration HNO3 (vol.%) pH Ecorr (VSCE) Icorr (mA/cm2) Corrosion rate (nm/min)
0.2 1.78 0.023 0.604 13.3
1 1.19 0.018 1.658 36.6
3 0.9 0.002 4.468 100.45
concentration of nitric acid. Table 24 shows the variation of corrosion potential, corrosion current with
pH and nitric acid concentration [261]. It can be inferred from Table 24 that the corrosion rate of Cu
drastically increases with increase in the concentration of nitric acid. This aspect will negatively
impact the CMP process due to increase in localized and global corrosion defects. Fig. 109 shows the
scanning electron micrographs of corrosion defects with increase in nitric acid exposure. Ein-Eli et al.
infer, based on the OCP data that active dissolution of Cu occurs at higher nitric acid solution
concentrations, there by rendering it unsuitable to be used in abrasive free CMP.
The addition of corrosion inhibitors like BTA to Cu slurry before polishing is strongly suggested
in order to form a protective film over Cu surface and prevents excessive Cu corrosion and dissolution
[117,262].
In order to study the effect of corrosion inhibitor during Cu CMP, experiments were performed
on: (1) solutions of Na2SO4 peroxide-free; (2) Na2SO4 with the addition of 0.01 M BTA; and (3)
Na2SO4 solution containing both BTA 0.01 M and peroxide 3 vol.% by Ein-Eli et al. [263]. Fig. 110
shows the Cu electrodes polarized in the aforementioned three different candidate solutions as
reported by Ein-Eli et al. It can be seen from Fig. 110 that the addition of BTA results in the production
of corrosion protective film and there is no increase in current till the potential increase to about 0.25 V,
after which there is an increase in current. This increase in current indicates that the dissolution
mechanism takes place in Na2SO4 slurry after 0.25 V potential. The high resolution SEM micrographs
shown in Fig. 111 shows evidence that is in line with this hypothesis. It can be seen from Fig. 111b, c
Fig. 110. Potentiodynamic profiles: scan rate of 1 mV/s of copper electrode immersed in three solutions: (a) solutions of
Na2SO4 peroxide-free; (b) Na2SO4 with the addition of 0.01 M BTA; (c) Na2SO4 solution containing both BTA 0.01 M and
peroxide 3 vol.% [260].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 195
Fig. 111. HRSEM micrographs obtained from copper polarized potentiostatically to: (a) 0.1 V; (b and c) 0.3 V; and (d and e)
0.4 V in solution of Na2SO4 containing 0.01 M BTA. Upper left presents pristine polished copper surface prior to immersion
in the solution [260].
and d that as compared to the pristine polished samples, when the static polarization of the Cu sample a
greater than 0.25 V (in this case 0.3 and 0.4, respectively), there is significant dissolution of Cu and
surface damage can be noticed. The same occurrence is not seen in Fig. 111a, where the surface
morphology of Cu resembles the pristine polished sample. It can also be noticed from Fig. 110 that
Fig. 112. Potentiodynamic profiles: scan rate of 1 mV/s of copper electrode immersed in solution containing Na2SO4 and
0.01 M BTA. Copper electrode potential was swept back at potentials ranging between 0.1 and 0.7 V [260].
196 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
Fig. 113. Potentiodynamic profiles: scan rate of 1 mV/s of copper electrode immersed in solution containing
Na2SO4, 0.01 M BTA and H2O2 3 vol.%. Copper electrode potential was swept back at potential of 0.45, 0.47, and
0.52 V [260].
the addition of peroxide solution to the Na2SO4-BTA base increases the threshold potential beyond
0.25 V.
Figs. 112 and 113 show the potentiodynamic behavior of Na2SO4 solution with (1) just 0.01 M
BTA and (2) 0.01 BTA and 3 vol.% hydrogen peroxide, respectively, as reported by Ein-Eli et al.
[261]. When the reverse potentiodynamic sweep was applied to solution1, between 0.1 and 0.7 V, Ein-
Eli et al. recorded a decrease in current at 0.25 V potential. Ein-Eli et al. proposed, based on the
increase in current at the reverse potential above 0.25 V means that the film formed on the Cu surface
is not stable above 0.2 V. It can be seen from Fig. 114 that similar results have as seen in the earlier case
have been obtained by Ein-Eli et al. with just the difference of threshold potential (0.45 V) in this case.
This it can be concluded from the study that addition of corrosion inhibitors to the Cu slurry solution
only gives limited protection to the Cu surface.
Fig. 114. Change of zeta-potential with pH in SC1 (H2O/H2O2/NH4OH) slurry, SiO2 and Si3N4 films [263].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 197
Stavreva et al. [166] studied the effect of the pattern density of Cu CMP process on a
commercially available IC 1000/Suba IV pad and QCT 1010 commercial slurry dilute with 3:1
H2O2 (30 vol.%). Polishing rates between 300 and 750 nm/min were obtained for Cu-buried SiO2 at
different velocity and down force polishing conditions for the set of pressure and velocity conditions
used by Stavreva et al. and rate followed the Preston’s equation. The selectivity decreased with
increase in removal rate and was found to be inversely proportional to the pressure and velocity,
however, sufficient selectivity was obtained to optimize the CMP process. Stavreva et al. performed
the unique study of measuring the surface topography as a function of polishing time to investigate the
geometry sensitivity of Cu CMP process. It was seen by Stavreva et al. that initially the height
difference is equivalent to the polishing rate difference between the high and low areas of the wafer.
The polishing difference exists due to the variable contact mechanism and geometry of the pad due to
the pattern density variation and height variation in the pattern. However, upon achievement of global
planarization, Stavreva et al. concluded, that this difference does not seem to exist. It can be thus
inferred that the ‘‘high’’ areas of the Cu get polished faster than the low areas, up until the global
planarization is reached. However, in case of insufficient Cu thickness, this phenomenon leads to
several defects such as dishing and erosion.
5.9. Summary
The effect of machine parameter optimization was discussed in section for silicon di oxide. Cu
literature shows that Cu follows the Preston’s equation as well albeit with a different constant k. This
section elaborately deals with the electrochemical aspect and chemical effects of different slurry
solution on Cu surface and its impact on CMP. The fundamental model of Cu CMP has been elaborated
and the metal dissolution and removal by abrasion is explained in this section. The effect of acidic
slurry and the oxidizer in the slurry on Cu has been discussed in detail. The lowering of removal rate
and increase in passivation is observed with increase in oxidizer concentration. Increase in Cu
dissolution with increase in nitric acid solution there by leading to corrosion has been illustrated. The
influence of corrosion inhibitors in tackling the problem is also documented. The effect of slurry
solution and pattern density on defects such as dishing is studied. The inherent weak interface of the
certain layers in damascene structure caused delamination to occur during Cu polishing. Low down
force polishing and efficient characterization of the interface has been found as the best remedial
measures to tackle delamination. The facet of slurry particle agglomeration and its effect on the
surface was discussed in Section 3 and has not been dealt with, in this chapter.
6.1. Introduction
As evident from the previous chapters, the process of chemical mechanical polishing, because of
the chemical reactions and the presence of abrasive particles at the interface is certain to introduce
surface defects and contaminations. The advantages of adopting CMP for global planarization of
wafer surfaces will not prove beneficial unless an effective cleaning process follows it. Post-CMP
cleaning process is a mandatory step that needs to be carried out in order to ensure a defect free and
contaminant free wafer surface for further metallization. Many of the present day CMP equipments are
198 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
integrated with post-CMP cleaning unit. Factors responsible for defects and contamination, con-
taminant retaining forces, theory of particle removal and techniques used for the same are discussed in
this chapter.
6.1.2. Contaminations
Contaminations present on the wafer surfaces after CMP process are of two types, particle and
metallic contamination. Particle contamination is mainly due to residual particles (of polishing pad)
that generate from the abrasion and also retaining of the particles suspended in the slurry. Metallic
contamination is observed mainly in the metal CMP process. The chemical metal reactions,
electrochemical aspects and the environmental conditions of operation of the polishers are the major
factors responsible for metallic contamination. In the following sections the various kinds of
contaminations and defects are discussed.
6.1.2.1. Particle contamination. Contamination due to the residual particles left behind by the CMP
process is one of the major issues that should be dealt by post-CMP cleaning process. The existence of
these particles can be due to many reasons such as suspended particles from various slurries (silica,
alumina or ceria), from polished surface materials, from polishing pad and to an extent from the
environmental conditions in which the process is taking place. The number of particles on the surface
is specific to the process and type of slurry used for planarization, for example, 102–104 particles per
wafer of oxide CMP by wafer when planarized with alumina slurries [65]. Liu et al. [263]
demonstrated that the number of particles that get embedded is inversely proportional to the hardness
of the wafer surface film. Based on the various surface forces like van der Waals forces and
electrostatic forces, particles are absorbed onto the surface. Further in some cases they can be
physically embedded onto the surface due to the pressure applied by the polishing pad. These particles
need to be removed as quickly as possible. Burdick et al. [264] demonstrated that the adhesion strength
of these particles is expected to increase with time [260]. There exists a strong force field at the wafer
surface and because of this force field; the wafer surface adsorbs some substances from the
surroundings to reduce the surface energy. The adsorption energy is less in the beginning as it is
starts with physical adsorption and gradually turns into chemical adsorption. Thus during chemical
adsorption particles develop bonding with the surfaces. Thus it becomes very difficult to rinse them
off. Liu et al. [265] chose a particular type of highly pure non-ion surfactant in order to ensure that the
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 199
adsorption state of the contaminated particle on the surface of the polished silicon wafer remains
physical adsorption for a long time. For advanced 0.18-mm technologies the commonly measured
particles at 0.2 mm are very close to the line width and thus potentially very dangerous. The SIA road
map suggests that back-end processes for 0.18-mm technologies should contribute no more than 50
adders at 0.09 mm for a 200-mm wafer [65].
6.1.2.2. Metallic contamination. One of the major contaminations is metallic contamination. This
type of contamination is mainly present on the wafer surface as adsorbed ions, oxides, hydroxides and
salts. These metallic contaminants can be removed by wet cleaning procedures using chemicals (acids)
such as hydrofluoric acid and citric acid. Further hydrofluoric (HF) is capable of removing metal
particles that are present on oxide and nitride surfaces by lift off mechanism better than many
conventional solutions and methods of cleaning [65]. As mentioned in the earlier sections of this
chapter, these particles generate from the slurries, out cropping of metals on the surfaces, and from the
environment of the equipment. CMP processes leave metallic contaminants typically in the range of
1011–1012 at./cm2. In front-end applications (STI), these levels are prohibited because they are not
compatible with the various hot processes. In the case of back-end steps, these parasitic metals must be
removed, even if this seems more paradoxical with the use of metallization steps. Indeed a large
amount of charges at the interconnection level or the presence of mobile ions such as sodium or
potassium can induce disturbances during the electrical information transfer. Furthermore, a super-
ficial conductive metallic contamination can generate shorts between two adjacent lines by percolation
conduction mechanism. And last but not least, fast diffusers such as copper can reach the active area
from the backside surface during the following thermal processes even if performed at relatively low
temperature (450 8C). The SIA road map suggests for 0.18-mm technologies that critical metals have
to be reduced to below 4 109 at./cm2 for front-end applications and to below 5 1011 at./cm2 for
back-end applications [65].
6.1.3. Defects
Apart from contaminations there are other kinds of defects, mostly surface defects. Damaged
layer, corrosion defects are some of the surface defects. Surface defects mainly consist of the
mechanical abrasion occurred during the CMP process, mechanical inclusions of particles on the
surface, chemical effects, etc. These defects are discussed in the following sections.
6.1.3.1. Damaged layer. Damaged layer is one of the surface defects, which is induced during CMP
process of wafer surface. The intensity of this damaged layer depends on the type of material and
operating conditions of the CMP process. Such a layer needs to be removed as it causes various
damaging effects to the wafer characteristics. It presents various undesired effects to properties of the
wafer surface like internal stress, and contaminations. The effects of the damaged layer are not yet
clearly demonstrated. The thickness of the damaged layer varies typically in the range of 1–10 nm
[65]. Such a defect needs to be eliminated during the post-CMP cleaning process, ensuring not to
damage the insulating layers or metallic plugs on the wafer surface. This poses a potential challenge
while developing the most efficient procedure for post-CMP process. Further care need to be taken not
to enhance the already existing defects in the insulator layer such as vertical cracks, surface voids, etc.
during the cleaning process.
6.1.3.2. Corrosion effects. Corrosion effects are very critical during the process of chemical
mechanical planarization. During planarization of the wafer surface, metal plugs crop up at the
surface. Enough care should be taken to ensure that the metal plugs, interconnects are not affected by
200 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
the corrosion effects due to the chemical slurries and also during wet chemical post-CMP cleaning
processes. The conventional RCA clean cannot be used in such conditions due to the presence of H2O2,
which is a highly oxidant species. The cleaning process needs to be designed to avoid corrosion,
considering the aspects of electrochemistry, which includes the thermodynamic and reaction kinetics
aspect. The other important aspect is the photoassisted corrosion. There are chemical agents that can
be added to the solution chemistry, which act as corrosion inhibitors. There are two types of corrosion
inhibitors, complexing agent or a redox agent. A complexing agent eliminates the free metal ions from
the solution and prevents redeposition of metal residue. Addition of such corrosion inhibitors improves
the effectiveness of the cleaning process.
6.1.4.1. van der Waals forces. As mentioned above, most of the particle contaminants are generated
from a combination of sources including particles from the abraded surface, pad material, abrasive
particles suspended in the slurry. These particles adhere to the surface as a result of physical attractive
forces, between the particles and the surface and also between the particle molecules and the surface,
called the van der Waals forces. These are relatively weaker than the chemical bonds. The intensity of
these forces depends upon the particle size and the distance between from the surface. Based on the
theory, it can be stated that the increasing distance between the particle and the surface results in
weaker forces. Also that the decay in the interaction force between the large particle and the surface is
slower than the molecule and the surface, and the interaction energy decays at a much faster rate at
large separation distances [65]. Inverse variation of this energy can be seen with dielectric constant of
the medium used for cleaning. Selecting a high dielectric constant would result in easy overcoming of
these forces. This interaction energy has to be overcome by the external forces to remove the particles
off the wafer surface to achieve defect free wafer surface.
6.1.4.2. Electrostatic forces. During the process of CMP, wafer and the pad surfaces develop surface
charges thereby attracting the ions immersed in the slurry. Two counter layers of charge that develop in
the liquid, balance the charge on both the surfaces. This is called double layer. The potential of this
layer that forms a boundary for these layers is termed and measured to be zeta-potential. This zeta-
potential is a measure of the charge of the layer, which determines the magnitude of attraction or
repulsion. Manipulating the pH, electrolytic concentration and adding various surfactants, magnitude
of the zeta-potential can be varied. Thus if a high zeta-potential value is maintained, the cleaning
process becomes easier. If a large potential with the same sign as that of the particle is maintained, then
the repulsion of the particles from the surface is large, resulting in separation of the particle easier
removal of the particles and effective cleaning. These electrostatic forces are one of the vital forces that
need to be dealt with a clear understanding to achieve high quality cleaning of the surfaces. Liu et al.
[263] demonstrated the variation of zeta-potential with increasing pH of the slurry, which has
significant effect on the removal of particles from the wafer surface during post-CMP cleaning
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 201
Fig. 115. Control of the adsorption state and removal of the contaminated particle adsorbed on the polished silicon wafer
[265].
process. Fig. 115 shows the variation of zeta-potential with increasing pH as demonstrated by Liu et al.
[263].
To remove particles, the van der Waals forces first must be overcome to separate the particle form
the substrate using mechanical effects such as scrubbing or by chemically etching the particle and/or
the substrate to purely and simply eliminate the two surfaces in contact. Harsh accelerations or high-
pressure sprays are not able to remove the fine particles. Then the electrostatic interaction must be
turned into favorable conditions to avoid particle readhesion. A common practice during post-CMP
cleaning is to manipulate electrostatic forces to prevent dislodged particles from redepositioning on
wafer surfaces by maximizing the zeta-potential repulsion between the particles and surfaces.
Efficient particle removal is extremely difficult because of the wide variety of particulate contaminants
and strong adhesion forces. Studies indicate that a combination of cleaning mechanisms is required for
efficient particle removal, with a mechanical force being a necessary component in the combination.
consideration. In the case of underetching removal process, one of the main parameters is the etching
thickness. On silicon, a 2-nm etching is necessary to remove the particles. This distance corresponds
to a theoretical decrease of the van der Waals interactions of about three orders of magnitude,
but 4–5 nm underetching seems to be more appropriate for oxide cleaning. Furthermore the
optimal removal efficiency does not seem to depend on the etching rate, unlike what could be
expected from the dynamic aspect of the redeposition process. As seen 3–4 nm under etching is
necessary in the case of silicon nitride. The hydrodynamic forces that can be generated during the
removal mechanism also aid in the particle removal to a great extent. Zhang et al. [266] evaluated the
adhesion forces that retain the contaminant particle on the surface and the hydrodynamic forces that
are generated to estimate the removal mechanism efficiencies. The surface of the wafer, which
becomes unstable due to the force field, adsorbs some substances to decrease the surface energy [265].
This adsorption starts as a physical adsorption and later develops into a chemical adsorption, which
results in holding the adsorbed substance more firmly. Liu et al. [265] stated the use of a highly pure
non-ionic surfactant to lengthen the period of physical adsorption, where in the removal of the
substances is relatively easier compared to the chemical adsorption. Fig. 115 demonstrates the effect
of surfactant.
Procedures for the post-CMP cleaning process are developed and are already in use. Major
procedures that are practical and are being used in the current industry are discussed here. A variety of
procedures are available from which the most optimum, both performance wise and taking economical
aspects into consideration are chosen based on the level of purity that is needed to be achieved and the
amount of contamination that is expected out of the slurry composition and properties of the surfaces.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 203
Fig. 116. Particle numbers on SiO2 and Si3N4 films immersed in various pH solutions for 30 min after CMP process [263].
Fig. 117. Schematic of single sided brush scrubbing mechanism: rcc, offset distance; rB, brush radius; vB, brush angular
speed; vW, wafer angular speed [267].
cleaning process [65]. Ramachandran et al. [268] have evaluated the brush scrubbing mechanism for
post-CMP cleaning of thermal oxide wafers. Scrubber optimization was performed by adjusting
various parameters like brushes, wafer rotation speeds, DI water flow and the brush height. They also
stated that the removal efficiency does not vary a whole lot with respect to the variation of brush speed,
although at higher speeds the removal is demonstrated to be marginally better. Brush must typically be
compressed 2–3 mm onto the wafer surface to come in direct contact with the wafer, which represents
the only way to remove the fine particles due to the weakness of drag forces. In the case of tungsten or
copper CMP where alumina slurries are used, the pH of the solution must be greater than 9 or lower
than 2 to avoid adhesion of the slurries in the porous structure of the brush [65]. This phenomenon,
called the loading effect, if not prevented, increases the final particle levels on the wafers and therefore
Fig. 119. Effects of the plasma exposure time in the remote hydrogen plasma cleaning process on the metallic impurities
removal [269].
drastically reduces the brush lifetime. This effect can be greatly attenuated by injection of chemicals to
vary the pH, for example, injection of 0.5–2% ammonia.
Lim et al. [269] developed a dry cleaning process as a second step for removal of metallic
contaminants like Cu, Fe and K after brush scrubbing mechanism for cleaning of oxide and metal
CMP. They carried out post-CMP cleaning using remote plasma hydrogen cleaning and UV/O3
cleaning process. Fig. 119 shows the variation of metallic impurity concentration on the surface with
the plasma exposure time.
Fig. 120. Performances of different scrubber chemistries in terms of residual SiO2 slurries intentionally deposited on thermal
oxide [270].
cleaning chemical treatments, even though with a lesser efficiency when compared to the scrubber
mechanism, present a cost cutting alternative for brush scrubbing post-CMP cleaning process.
In spite of many advantages of Cu to be used for interconnects and effective CMP of Cu, Cu CMP
induces many surface residues and organic residues from benzotriazole, which is used for enhanced
CMP process. These residues result in Cu ion drift, which degrades the dielectric surface arising
reliability issues. A suitable post-CMP cleaning step is extremely necessary after CMP pf Cu surfaces.
Benzotriazole is usually used to achieve good wafer planarization, which induces organic contamination.
Main challenge of Cu CMP is removal of Cu residue and BTA contamination from inter-metal dielectric
layers and Cu surface. Yeh et al. [271] developed a novel cleaning process using buffered HF solution
and ozone water for Cu post-CMP cleaning process. A buffer hydrofluoric (BHF) cleaning solution, HAL
4006 and HAL 4025 were used to reduce Cu and BTA contaminations on the IMD surface. Ozone water
immersion step followed the main buffered HF solution cleaning for further cleaning.
Wang et al. [272] developed a modified multi-chemical spray process for post-CMP cleaning
application. This new process has been successfully applied to both oxide and tungsten CMP cleaning.
They used ammonia/peroxide mix (APM), dilute HF dip (for intermediate short step) and hydrochloric
peroxide mix (HPM) chemistries for cleaning. They also used a various combinations of these three
Fig. 121. Comparison between scrubbing and different wet chemistries in terms of SiO2 slurry removal efficiency [270].
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 207
Fig. 122. The particle removal ratios for different cleaning NH4OH ratios [271].
solutions to achieve better results. Their procedure works according to the fact that when the zeta-
potential between the slurry particles and wafer surface are all the same sign, it will be easier for the
particles to be removed from the wafer surface due to the electrical repulsive forces. The effect of pH
and zeta-potentials when using NH4OH and H2O2 is believed to be the critical factor improving the
removal efficiency. This is particularly useful for post-tungsten CMP cleaning as there are low pHs
involved in the process of CMP of tungsten, which uses alumina-based slurries. They demonstrated
that these chemical-cleaning combinations could achieve comparable levels of cleaning with brush
scrubbing cleaning mechanism. Fig. 122 shows the increase in percentage removal of particles using
APM and extended combinations.
Busnaina [274] investigated the parameter effects on efficiency of megasonic cleaning. They
concluded that high efficiency could be achieved with high megasonic power, long cleaning times
and at high temperatures. Megasonic post-CMP cleaning process was demonstrated by Busnaina and
co-workers [274,275]. Very efficient removal of particles was demonstrated using acoustic streaming
in combination with SC1 solution. Dilute chemistry was used to enhance particle repulsion, removal
and prevent redeposition. Also their results show that using dilute SC1 reduces the cleaning time as
compared to DI water.
6.3.4.1. Dry cleaning non-contact cleaning process. Researchers at Phrasor Scientific Inc. (Duarte,
CA) have developed a noncontact wafer cleaning technique namely NanoClean [276]. This method
can remove sub micron debris and particulates from surfaces in a single step. This method requires no
rinsing or drying steps. They generated high-energy beams of microclusters directly from liquid state,
which have an average size of less than 1 micron. They described that when the clusters meet the
submicron particles on the surface, momentum of the clusters gets transferred to the particles, which
eventually results in their removal. The forces imparted by these clusters are sufficient enough to
remove the particles physically from the surface. These clusters gain supersonic velocities as they are
accelerated electrically. Regulating the velocities and direction of motion of the clusters would
eliminate the possibility of damage occurring to the surface. This precision cleaning process can be
used to supplement to the conventional wet cleaning or brush cleaning process that are already existing
in the industry.
6.4. Summary
Post-CMP cleaning has evolved into a significant process as CMP introduces several defects and
contaminants. To achieve a wafer surface free of defects and contaminants, a thorough understanding
of cleaning mechanisms, forces involved, electrochemistry, hydrodynamics and colloidal sciences is
highly necessary. Brush scrubbing mechanism in conjunction with chemicals is currently employed in
the industry. To optimize cost of ownership, novel techniques are being developed by several
researchers. Wet cleaning methods (using combination of chemicals) and acoustic streaming
techniques are being developed. Many researchers have demonstrated the comparable performance
of various alternatives to the currently existing brush scrubbing mechanism. Using various chemical
solutions in combinations to achieve an optimum pH for the cleaning solution plays an important role
in cleaning process. This section of the report is aimed at providing the details of post-CMP clean
including the procedures and the recent advances in the industry. Research for novel techniques should
be carried out continuously to follow the planarization of new materials. Novel techniques are being
developed and much advancement is yet to take place to find competing alternatives to the existing
methodologies. Success and advantages of CMP highly depends on the efficient cleaning process.
Research in post-CMP process plays a vital role in the advancements taking place in the field of IC
fabrication.
7. Conclusion
7.1. Summary
The paper begins by stressing the importance of research in the STI and BEOL processes. It
discusses the need for planarization and different techniques of planarization. It can be seen from the
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 209
discussion that the technique of chemical mechanical polishing offers tremendous benefits over the
other available techniques at present. The technique of CMP is especially suitable for shallow trench
isolation of devices and fabricating dual damascene structures for interconnects. The paper tries to
understand the exact science of the CMP process. The mechanism of material removal and
planarization strictly depends upon the material that is being removed. The CMP process has
different material removal mechanism and surface tribochemical interaction for silicon di oxide,
polymers, metals and ceramics. The different efforts for the theoretical characterizations of different
surface interactions have been mentioned in the paper. The paper gives a brief outline of the physical
process of CMP and industrial standards that are followed while performing different CMP processes
at different times during the semiconductor device fabrication. The dependence of the CMP process,
its surface chemical reaction, abrasive wear and the associated output parameters such as removal rate,
global planarization, uniformity, surface roughness, etc. on the input variables such as spindle speed,
down force, slurry flow and platen rotation has been discussed at length in the paper. Consumables
form an important part of the overall CMP process. The paper presents a review of the various pads,
different types of slurries, retaining rings and other consumables available in the market and under
research at this time. The consumable parameters such as pad porosity, hardness, elasticity, slurry pH,
slurry chemistry and its effect on the CMP process parameters such as removal rate and process defects
have been reviewed. The paper goes in details of the different CMP process employed to polish
dielectrics, metals and ceramics. The various issues that arise during the polishing of various doped
and un doped oxide, soft and inherently weak polymeric materials used as dielectrics, novel aerogels
and xerogels presently under consideration for implementation as future dielectrics have been
discussed at length. The paper also dwells on the CMP of tungsten plugs, Cu and Al interconnects,
as well as Pt CMP for some specialized application. The post-CMP issues such as particle adhesion,
corrosion and surface scratches have also been discussed in the paper.
Due to the implementation of novel thin films in the integrated circuits, the issues such as weak
interfacial adhesion are influence the CMP of these materials a lot. The weak interface gives rise to a
catastrophic failure of the polishing thin film known as delamination. The material that is being
polished also can have a lot of micro scratches as at the end of a CMP process. Due to agglomeration of
smaller particles in the slurry, a large chunk of particulate materials comes in contact with the slurry
there by causing the microscratches to occur on the surface. Dishing reduces the final thickness of
copper lines and degrades the planarity of the wafer’s surface, resulting in complications when adding
multiple levels of metal. Understanding of dishing and its nature will be very helpful in process
optimization and helps to understand the process mechanism. Erosion is the thinning of oxide and
metal in the patterned area. By definition, it is the difference in the SiO2 thickness before and after
polishing. The pattern density dependant defects of dishing and erosion are also vigorously being
studied by the semiconductor industry today. The process optimization issues such as lowering the
within and across-wafer polish rate non-uniformities to achieve the required statistical process control
metrics and effective process end point detection have been discussed.
The process defects in CMP occur not only during the actual polishing but also during the post-
CMP clean operations. Defects like metal corrosion occur as the wafer is cleaned in highly voracious
post-CMP clean environments. The paper talks in brief on the various post-CMP clean mechanisms
presently being employed and the on going efforts to minimize the defects that occur on the wafer
during the post-CMP clean process.
Post-CMP metrology is very important to determine the accuracy and reliability of the CMP
process. The integrity of the surface and pattern has to be accurately determined and evaluated. This
paper presents and overview of the various metrology techniques that have been implemented to
evaluate the CMP process and polishing behavior of the various CMP consumables.
210 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
There are several innovations and modifications such as slurry free approach, low down force
polishing, abrasive less and nanoparticle slurry approach, etc. that are being carried out in the CMP
process. Process such as reverse electroplating and combination of different planarization process, are
also trying to compete with CMP for achievement of effective global and local planarization of the
wafer.
CMP has evolved into one of the most significant process in circuit fabrication. In the circuit
fabrication device isolation plays a significant role in improving the performance of the devices.
Device isolation is made possible by shallow trench isolation method, which replaced local oxidation
of silicon (LOCOS) in the recent times. STI allows scaling down the device dimensions and more
dense packing. CMP of the deposited insulator over the etched transistor active area during STI is
necessary. STI is one of the many technological advances in the recent times, which supports
shrinkage of the device dimensions. STI provides a good prototype for CMP, which will be carried out
for many such advances.
The process of chemical mechanical polishing is also finding increasing application in the field of
giant magneto resistive (GMR) and colossal magneto resistive (CMR) disc drives for polishing
successive layers of thin films (Co and Ni). Special emphasis is laid on successful endpoint detection
and selectivity of the slurry. CMP is used to polish multi-level thin film structure of the drives.
The field of microelectromechanical systems (MEMS) is also increasing adapting the process of
CMP. As MEMS structures implement smaller and smaller features as constituents, the planarity of the
thin films becomes an important issue. For optical MEMS applications the mirror like smooth surface
of the thin films is of utmost importance for reliable and repeatable functioning of the device, for
example, optical features.
Metal and high-k insulators have replaced the dummy gates, which were used to preserve self-
alignment of gate electrode. These metal and insulator layers need to be planarized with utmost
control, where CMP has to play a significant role. Deposition techniques for such metal and high-k
insulator films are yet to be defined and CMP of films deposited by such atomic layer depositions need
to be investigated to get optimum polishing performance. Also, CMP of noble metals, which are used
to make gate electrodes in p-channel devices need to be investigated to achieve optimum removal
performance.
Acknowledgment
The authors would wholeheartedly like to acknowledge the efforts of Mr. S. Mudhivarthi for his
contribution in the Post CMP Clean section of this paper. The authors wish to acknowledge the faculty,
staff and students of Nanomaterials and Nanomanufacturing Research Center (NNRC) at its Director,
Dr. Micheal Kovac, at the University of South Florida for providing an excellent work environment for
research. The authors are also thankful for the resourced provided by the Department of Mechanical
Engineering. The authors would like to specifically mention Dr. Sergei Ostapenko, Dr. Julie Harmon
for providing a part of the equipment required for certain research that has been reported in this paper.
The authors would like to acknowledge NSF CAREER Grant #9983535 (Ashok Kumar) and NSF
GOALI Grant #DMII 0218141 for supporting this research. Part of this research was also supported by
USF-Agere High-Tech Corridor Grant #21-12-142LO. We would also like to thank Dr. Ashok Das
from Applied Materials, Dr. Jeffery Lee from Intel Corporation and Dr. M. Sanganeria from Novellus
Systems for providing valuable inputs.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 211
References
[1] J.G. Ryan, R.M. Geffken, N.R. Poulin, J.R. Paraszczak, The evolution of interconnection technology at IBM, IBM J.
Res. Dev. 39 (1995) 371.
[2] C.L. Borst, W.N. Gill, R.J. Gutmann, Chemical–Mechanical Polishing of Low Dielectric Constant Polymers and
Organosilicate Glasses: Fundamental Mechanisms and Application to IC Interconnect Technology, Kluwer Academic
Publishers, Boston, 2002.
[3] Y. Taur, Y.-J. Mii, D.J. Frank, H.-S. Wong, D.A. Buchanan, S.J. Wind, S.A. Rishton, G.A. Sai-Halasz, E.J. Nowak,
CMOS scaling into the 21st century: 0.1 mM and beyond, IBM J. Res. Dev. 39 (1995) 245.
[4] Internet web site: http://www.intel.com/labs/features/si11032.htm, Intel, 2002.
[5] J. Givens, S. Geissler, O. Cain, W. Clark, C. Koburger, J. Lee, A low-temperature local interconnect process in a 0.25
mm channel CMOS logic technology with shallow trench isolation, in: Proceedings of the 11th International VLSI
Multilevel Interconnection Conference, 1994, p. 43.
[6] Internet web site: http://www.research.ibm.com/, IBM, 2003.
[7] A.K. Bates, M. Rothschild, T.M. Bloomstein, T.H. Fedynyshyn, R.R. Kunz, V. Liberman, M. Switkes, Review of
technology for 157 nm lithography, IBM J. Res. Dev. 45 (2001) 605.
[8] F. Bernard, Advanced optical lithography development, from UV to EUV, Micro. Eng. 61–62 (2002) 11–24.
[9] B. Michel, A. Bernard, A. Bietsch, E. Delamarche, M. Geissler, D. Juncker, H. Kind, J.-P. Renault, H. Rothuizen, H.
Schmid, P. Schmidt-Winkel, R. Stutz, H. Wolf, Printing meets lithography: soft approaches to high-resolution
patterning, IBM J. Res. Dev. 45 (5) (2001) 697.
[10] S. Wolf, R. Tauber, Silicon Processing for VLSI Era, McGraw-Hill, New York, 1991.
[11] R.W. Keyes, Fundamental limits in physical information processing, Proc. IEEE 69 (1981) 267.
[12] S.P. Murarka, Metallization: Theory and Practice for VLSI and ULSI, Butterworth-Heinemann, Boston, 1993.
[13] J.A. Lee, M. Moinpour, H.-C. Liou, T. Abell, in: Proceedings of Materials Research Society, San Francisco, CA, 2003,
p. F7.4.
[14] International Technology Roadmap for Semiconductors, 2001.
[15] K. Shenai, VLSI Metallization: Physics and Technologies, Artech House Inc., 1991.
[16] V.K. Tripathi, R.J. Bucolo, Analysis and modeling of multilevel parallel and crossing interconnections lines, IEEE
Trans. Electron Dev. 34 (3) (1987) 650–658.
[17] G. Leibmann, Solution of partial differential equations with a resistance network analogue, Br. J. Al. Phys. 1 (4) (1950)
92.
[18] R.F. Harrington, C. Wei, Losses on multiconductor transmission lines in multilayered dielectric media, IEEE Trans.
Microwave Theory Tech. MTT 32 (1984) 705.
[19] P. Wolters, Internet web site: http://www.peter-wolters.com/cmp/cmpmultilevel.htm, 2003.
[20] W.-S. Lee, S.-Y. Kim, Y.-J. Seo, J.-K. Lee, An optimization of tungsten plug chemical mechanical polishing (CMP)
using different consumables, J. Mater. Sci.: Mater. Electron. 12 (1) (2001) 63.
[21] W.J. Patrick, W.L. Guthrie, C.L. Standley, P.M. Schiable, Application of chemical mechanical polishing to the
fabrication of VLSI circuit interconnection, J. Electrochem. Soc. 138 (1991) 555.
[22] S. Wolf, Silicon Processing for VLSI Era II, Process Integration 45, McGraw-Hill, New York, 1991.
[23] P. Sallagoity, F. Gaillard, M. Rivoire, M. Paoli, M. Haond, STI process steps for sub-quarter micron CMOS,
Microelectron. Reliab. 38 (1998) 271.
[24] S.-Y. Jeong, S.-Y. Kim, Y.-J. Seo, A study on the reproducibility of HSS STI–CMP process for ULSI applications,
Microelectron. Eng. 66 (2003) 480.
[25] S.-Y. Kim, C.-I. Kim, E.-G. Chang, Y.-J. Seo, T.-H. Kim, W.-S. Lee, An optimized nitride residue phenomena of
shallow trench isolation (STI) process by chemical mechanical polishing (CMP), in: Proceedings of 4th IUMRS-
ICEM-98, Cheju Korea, 24–27 August 1998, 1998, p. 468.
[26] S.-Y. Kim, Y.-J. Seo, Correlation analysis between pattern and non-pattern wafer for characterization of shallow trench
isolation-chemical mechanical polishing (STI–CMP) process, Microelectron. Eng. 60 (3–4) (2002) 357.
[27] Y.-J. Seco, S.-Y. Kim, W.S. Lee, Reduction of process defects using a modified set-up for chemical mechanical
polishing equipment, Mech. Eng. 65 (4) (2003) 371.
[28] Y.-J. Seo, G.-U. Kim, W.S. Lee, Effects of STI-fill thickness on the CMP process defects, Microelectron. Eng. 71
(2004) 209.
[29] C. Chen, J.-Y. Wu, W. Lur, US Patent 6,169,012 (2001).
[30] C. Chen, J.-Y. Wu, W. Lur, US Patent 6,486,040 (2002).
[31] C. Chen, J.-Y. Wu, W. Lur, US Patent 6,448,159 (2002).
212 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
[32] D.G. Thakurta, D.W. Schwendeman, R.J. Gutmann, S. Shankar, L. Jiang, W.N. Gill, Three-dimensional wafer-scale
copper chemical–mechanical planarization model, Thin Solid Films 414 (2002) 78.
[33] C. Zhou, L. Shan, J. Robert Hight, S.H. Ng, S. Danyluk, Fluid pressure and its effects on chemical mechanical
polishing, Wear 253 (3–4) (2002) 430.
[34] X. Li, T.K.S. Wong, Rusli, D. Yang, Structural and electronic properties of low dielectric constant carbon rich
amorphous silicon carbide, Diamond Relat. Mater. 12 (3–7) (2003) 963.
[35] G. Schindler, G. Steinlesberger, M. Engelhardt, W. Steinhögl, Electrical characterization of copper interconnects with
end-of-roadmap feature sizes, Solid State Electron. 47 (7) (2003) 1233.
[36] R.F. Schnabel, D. Dobuzinsky, J. Gambino, K.P. Muller, F. Wang, D.C. Perng, H. Palm, Dry etch challenges of 0.25
mm dual damascene structures, Microelectron. Eng. 37–38 (1997) 59.
[37] L. Shon-Roy, Integrated Circuit Engineering Corporation Handbook, 1999.
[38] K. Kobayashi, Low polarization and low temperature reflow of inorganic borophosphosilicate glasses formed from
organic sources, Mater. Sci. Eng. 98 (2, 15) (2003) 181.
[39] K. Kobayashi, Relationship between electronic molar polarizability and super low dielectric constant in B2O3–BeF2–
C glass systems, J. Phys. Chem. Solids 59 (9) (1998) 1671.
[40] K. Kobayashi, I. Mizushima, Reflow of BeF2, B2O3, GeO2, SiO2 glasses and application of their membranes to metal-
oxide-silicon (MOS) capacitors, Mater. Sci. Eng. B 39 (1996) 224.
[41] K. Kobayashi, H. Sasaki, Viscous flow of ZnF2- and Si3N4-containing borosilicate glasses and their applications to
MOS capacitors, Mater. Res. Bull. 33 (5) (1998) 811.
[42] K. Kobayashi, Improvement of polarizable capacitance—voltage curves of MOS capacitors passivated with BN-and
PbF2-containing SiO2–B2O3–GeO2 glasses, J. Non-Cryst. Solids 217 (2–3) (1997) 323.
[43] J. Levert, S. Mukherjee, D. Debear, Spin Etch Planarization for Cu Damascene Interconnects, Semicon, Japan, 1999.
[44] J.-H. Zhao, I. Malik, T. Ryan, E.T. Ogawa, P.S. Ho, W.-Y. Shih, A.J. McKerrow, K.J. Taylor, Thermomechanical
properties and moisture uptake characteristics of hydrogen silsesquioxane submicron films, Appl. Phys. Lett. 74 (7)
(1999) 944.
[45] M.P. Petkov, M.H. Weber, K.G. Lynn, K.P. Rodbell, S.A. Cohen, Open volume defects (measured by positron
annihilation spectroscopy) in thin film hydrogen-silsesquioxane spin-on-glass; correlation with dielectric constant, J.
Appl. Phys. 86 (6) (1999) 3104.
[46] A. Kuntman, R. Yenidünya, A. Kaşgöz, H. Kuntman, A new study on spin-on-silica for multilevel interconnect
applications, Microelectron. J. 30 (1999) 127.
[47] C. Maddalon, K. Barla, E. Denis, E. Lous, E. Perrin, S. Lis, C. Lair, E. Dehan, Planarization properties of hydrogen
silsesquioxane (HSQ) influence on CMP, Microelectron. Eng. 50 (1–4) (2000) 33.
[48] L. Trabzon, O.O. Awadelkarim, Changes in material properties of low-k interlayer dielectric polymers induced by
exposure to plasmas, Microelectron. Eng. 65 (4) (2003) 463.
[49] C.T. Chua, Y.-P. Lee, M.S. Zhou, L. Chan, US Patent 6,121,130 (2000).
[50] A.E. Braun, Low-k integration advances with hesitation, Semicond. Int. 11 May 2003.
[51] M. Köhler, Etching in Microsystem Technology, Wiley, Weinheim, 1999.
[52] M. Esashi, Microsyst. Technol. 1 (1994) 2.
[53] K. Suzuki, S. Hiraoka, S. Nishimatsu, Anisotropic etching of polycrystalline silicon with hot Cl2 molecular beam, J.
Appl. Phys. 64 (1988) 3697.
[54] D.M. Knotter, Etching mechanism of vitreous silicon dioxide in HF-based solutions, J. Am. Chem. Soc. 122 (18)
(2000) 4345.
[55] AT&T Bell Laboratory, CMP mechanism identified at Bell Laboratory, Solid State Technol. 37 (12) (1994) 26.
[56] J.M. Steigerwald, P. Shyam, M. Gutmann, R.J. Gutmann, Chemical Mechanical Planarization of Microelectronic
Materials, Wiley, New York, 1997.
[57] D.J. Stein, J.L. Cecchi, D.L. Hetherington, Atomic force microscoy, lateral force microscopy, and transmission
electron microscopy investigations and adhesion force measurements for elucidation of tungsten removal mechan-
isms, J. Mater. Res. 14 (1999) 3695.
[58] H. Landis, P. Burke, W. Cote, W. Hill, C. Hoffman, C. Kaanta, C. Koburger, W. Lange, M. Leach, S. Luce, Integration
of chemical–mechanical polishing into CMOS integrated circuit manufacturing, Thin Solid Films 220 (1992) 1.
[59] Internet web site: http://www.memc.com/MEMC.nsf/0/6D86FAED316FE14686256AE80058166D/$File/Ultraflat_-
wafers.pdf?OpenElement, # MEMC, 2003.
[60] Internet web site: http://www.komsil.co.jp/en/office/hist.htm, # Komatsu, 2003.
[61] Internet web site: http://www.research.ibm.com, # IBM, 2004.
[62] Internet web site: http://www.sematech.org/corporate/index.htm, # Sematch Inc., 2004.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 213
[100] C. Rogers, L. Racz, J. Coppeta, A. Philipossian, F. Kaufman, Investigating slurry transport beneath a wafer during
chemical mechanical polishing processes, J. Electrochem. Soc. 147 (5) (2000) 1903.
[101] R.K. Singh, R. Bajaj, Advances in chemical–mechanical planarization, MRS Bull. 27 (10) (2002) 743.
[102] A.K. Sikder, F. Giglio, J. Wood, A. Kumar, J.M. Anthony, Optimization of tribological properties of silicon di oxide
during chemical mechanical planarization process, J. Electron. Mater. 30 (2001) 1522.
[103] H. Lu, H. Lu, Y. Obeng, K.A. Richardson, Applicability of dynamic mechanical analysis for CMP polyurethane pad
studies, Mater. Charact. 49 (2) (2002) 177.
[104] B.-S. Chen, J.S. Steckenrider, B.L. Mueller, US Patent 6,464,562 (2002).
[105] J.-C. Twu, Y.-H. Chen, T. Shih, S.-M. Jang, US Patent 6,589,872 (2003).
[106] M.S. Moinpour, Challenges in CMP consumables characterization, Presented at the Clarkson University CAMP 6th
International Symposium on CMP, Lake Placid, NY, 2001.
[107] M. Moinpour, A. Tregub, A. Oehler, K. Cadien, Advances in characterization of CMP consumables, MRS Bull. 27
(10) (2002) 766.
[108] K.A. Mikhaylich, J.M. Boyd, US Patent 6,471,566 (2002).
[109] J.S. Steckenrider, B.L. Mueller, US Patent 6,533,832 (2003).
[110] T.-H. Tsai, S.-C. Chen, Localized corrosion effects and modifications of acidic and alkaline slurries on copper
chemical mechanical polishing, Appl. Surf. Sci. 210 (3–4) (2003) 190.
[111] G. Bahar Basim, B. Moudgil, Slurry design for chemical mechanical polishing, KONA Power Technol. Jpn. 21 (2003)
178.
[112] M. Bielmann, U. Mahajan, R.K. Singh, Effect of particle size during tungsten chemical mechanical polishing,
Electrochem. Solid-State Lett. 2 (1999) 401.
[113] U. Mahajan, S.-M. Lee, R.K. Singh, Fundamental studies on the mechanisms of oxide CMP, Mater. Res. Soc. Symp.
Proc. 613 (2000) E1.7.
[114] H. Li, M. VanHaneham, J. Quanci, Slurry development for Cu/ultra low-k CMP, Mater. Res. Soc. Symp. Proc. 767
(2003) F5.3.
[115] F.B. Kaufman, D.B. Thompson, R.E. Broadie, M.A. Jaso, W.L. Guthrie, D.J. Pearson, M.B. Small, Chemical–
mechanical polishing for fabricating patterned W metal features as chip interconnects, J. Electrochem. Soc. 138 (11)
(1991) 3460.
[116] R.K. Singh, S.-M. Lee, K.-S. Choi, G.B. Basim, W. Choi, Z. Chen, B.M. Moudgil, Fundamentals of slurry design for
CMP of metal and dielectric materials, MRS Bull. 27 (10) (2002) 752.
[117] T.-H. Tsai, S.-C. Yen, Localized corrosion effects and modifications of acidic and alkaline slurries on copper chemical
mechanical polishing, Appl. Surf. Sci. 210 (3–4) (2003) 190.
[118] T.-H. Tsai, Y.F. Wu, S.C. Yen, Study of copper chemical mechanical polishing in urea–hydrogen peroxide slurry by
electrochemical impedance spectroscopy, Appl. Surf. Sci. 214 (1–4) (2003) 120.
[119] A. Misra, US Patent 6,530,967 (2003).
[120] L.M. Cook, Chemical process in glass polishing, J. Non-Cryst. Solids 120 (1990) 152.
[121] A. Vijayakumar, T. Du, K.B. Sundaram, V. Desai, Polishing mechanism of tantalum films by SiO2 particles,
Microelectron. Eng. 70 (1) (2003) 93.
[122] C. Kourouklis, T. Kohlmeier, H.H. Gatzen, The application of chemical–mechanical polishing for planarizing a SU-8/
permalloy combination used in MEMS devices, Sens. Actuators A: Phys. 106 (2003) 263.
[123] J. Luo, D.A. Dornfeld, Material removal mechanism in chemical mechanical polishing: theory and modeling, IEEE
Trans. Semicond. Manuf. 14 (2) (2001) 112.
[124] S.R. Runnel, L.M. Eyman, Tribology analysis of chemical–mechanical polishing, J. Electrochem. Soc. 141 (1994)
1698.
[125] Y.-R. Jeng, P.-Y. Huang, Impact of abrasive particles on the material removal rate in CMP, Electrochem. Solid-State
Lett. 7 (2) (2004) G40.
[126] N.J. Brown, P.C. Baker, R.T. Maney, Optical polishing of metals, Proc. SPIE 306 (1981) 42.
[127] D.J. Stein, D.L. Hetherington, J.L. Cecchia, Investigation of the kinetics of tungsten chemical mechanical polishing in
potassium iodate-based slurries. II. Roles of colloid species and slurry chemistry, J. Electrochem. Soc. 146 (1999)
1934.
[128] H. Cui, I.B. Bhat, S.P. Murarka, H. Lu, W. Li, W.-J. Hsia, W. Catabay, Chemical mechanical polishing of low dielectric
constant oxide films deposited using flowfill chemical vapor deposition technology, J. Electrochem. Soc. 147 (10)
(2000) 3816.
[129] Y.R. Jeng, P.Y. Wang, An elliptical microcontact model considering elastic, elastoplastic, and plastic deformation,
ASME J. Tribol. 125 (2003) 232.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 215
[130] W. Choi, S.-M. Lee, R.K. Singh, Effects of particle concentration in CMP, Mater. Res. Soc. Symp. Proc. 671 (2001)
M5.1.
[131] J. Seok, A.T. Kim, C.P. Sukam, A. Jindal, J.A. Tichy, R.J. Gutmann, T.S. Cole, Inverse analysis of material removal
data using a multiscale CMP model, Microelectron. Eng. 70 (2003) 478.
[132] R. Saxena, D.G. Thakurta, R.J. Gutmann, W.N. Gill, A feature scale model for chemical mechanical planarization of
damascene structures, Thin Solid Films 449 (1–2) (2004) 192.
[133] L. Guo, R.S. Subramanian, Mechanical removal in CMP of copper using alumina abrasives, J. Electrochem. Soc. 151
(2) (2004) G104.
[134] K. Qin, B. Moudgil, C.-W. Park, A chemical mechanical polishing model incorporating both the chemical and
mechanical effects, Thin Solid Films 446 (2) (2004) 277.
[135] J.F. Luo, D.A. Dornfeld, Mechanical removal in CMP of copper using alumina abrasives, J. Electrochem. Soc. 150
(12) (2003) G807.
[136] W. Stöber, A. Fink, E. Bohn, Controlled growth of monodisperse silica spheres in the micron size range, J. Colloid
Interface Sci. 26 (1968) 62.
[137] E. Bourgeat-Lami, J. Lang, Encapsulation of inorganic particles by dispersion polymerization in polar media, J.
Colloid Interface Sci. 197 (1998) 293.
[138] H. Yano, Y. Matsui, G. Minamihaba, N. Kawahashi, M. Hattori, High-performance CMP slurry with inorganic/resin
abrasive for Al/low-k damascene, Mater. Res. Soc. Symp. Proc. 671 (2001) M5.1.
[139] N. Kawahashi, H. Shiho, Copper and copper compounds as coatings on polystyrene particles and as hollow spheres, J.
Mater. Chem. 10 (2000) 2994.
[140] N. Kawahashi, E. Matijevic, Preparation of hollow spherical particles of yttrium compounds, J. Colloid Interface Sci.
143 (1991) 103.
[141] N. Kawahashi, C. Persson, E. Matijevic, Zirconium compounds as coatings on polystyrene latex and as hollow
spheres, J. Mater. Chem. 1 (1991) 577.
[142] H. Shiho, Y. Manabe, N. Kawahashi, Magnetic compounds as coatings on polymer particles and magnetic properties
of the composite particles, J. Mater. Chem. 10 (2000) 333.
[143] H. Shiho, N. Kawahashi, Iron compounds as coatings on polystyrene latex and as hollow spheres, J. Colloid Interface
Sci. 226 (2000) 91.
[144] H. Shiho, N. Kawahashi, Titanium compounds as coatings on polystyrene latices and as hollow spheres, Colloid
Polym. Sci. 278 (3) (2000) 270.
[145] S. Kondo, N. Sakuma, Y. Homma, Y. Goto, N. Ohashi, H. Yamaguchi, N. Owada, Abrasive-free polishing for copper
damascene interconnection, J. Electrochem. Soc. 147 (2000) 3907.
[146] M. Hanazono, J. Amanokura, Y. Kamigata, Development and application of an abrasive-free polishing solution for
copper, MRS Bull. 27 (10) (2002) 722.
[147] W.R. Morrison, K.P. Hunt, US Patent 5,938,505 (1999).
[148] S.-Y. Kim, K.-J. Lee, Y.-J. Seo, In situ end point detection of the STI–CMP process using a high selectivity slurry,
Microelectron. Eng. 66 (1–4) (2003) 463.
[149] S.-Y. Kim, J.-H. Lim, C.-H. Yu, N.-H. Kim, E.-G. Chang, Roles of phosphoric acid in slurry for Cu and TaN CMP,
KIEEME Trans. Electr. Electron. Mater. 4 (2) (2003) 1.
[150] A. Jindal, USPTO Patent Appl., September 2001.
[151] A. Jindal, S. Hegde, S.V. Babu, Mixed abrasive CMP: a study on metal and dielectric films, Mater. Res. Soc. Symp.
Proc. 732E (2002) I3.6.
[152] R. Iler, The Chemistry of Silica, Wiley, New York, 1979.
[153] Market Materials, Millipore Corporation, Bedford, MA, 1998.
[154] Y. Hayashi, M. Sakurai, T. Nakajima, K. Hayashi, S. Sasaki, S.-I. Chikaki, T. Kunio, Ammonium-salt-added silica
slurry for the chemical mechanical polishing of the interlayer dielectric film planarization in ULSI’s, Jpn. J. Appl.
Phys. 2B (1995) 1037.
[155] W.-T. Tseng, P.-L. Kuo, C.-L. Liao, R. Lu, J.-F. Lin, Novel polymeric surfactants for improving chemical mechanical
polishing performance of silicon oxide, Electrochem. Solid-State Lett. 4 (2001) G42.
[156] T. Sugimoto, K. Sakata, A. Muramatsu, K. Sakata, A. Muramatsu, Formation mechanism of monodisperse
pseudocubic –Fe2O3 particles from condensed ferric hydroxide gel, J. Colloid Interface Sci. 159 (2) (1993) 372.
[157] Z. Lu, S.-H. Lee, S.V. Babu, E. Matijevic, The use of monodispersed colloids in the polishing of copper and tantalum,
J. Colloid Interface Sci. 261 (1) (2003) 55.
[158] Y. Kamigata, Y. Kurata, K. Masuda, J. Amanokura, M. Yoshida, M. Hanazono, Why abrasive free Cu slurry is
promising? Mater. Res. Soc. Symp. Proc. 671 (2001) M1.3.
216 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
[159] S. Kondo, N. Sakuma, Y. Homma, Y. Goto, N. Ohashi, H. Yamaguchi, N. Owada, Abrasive-free polishing for copper
damascene interconnection, J. Electrochem. Soc. 10 (147) (2000) 3907.
[160] P.B. Zantye, A.K. Sikder, A. Kumar, Polishing behavior of the various interconnect thin films in Cu damascene process
with different slurries, Mater. Res. Soc. Symp. Proc. 767 (2003) F6.9.
[161] S. Kondo, Y. Homma, N. Sakuma, K. Takeda, K. Hinode, Polishing method, Eur. Pat. Appl. EP0913442A2
(1999).
[162] T. Matsuda, H. Takahashi, M. Tsurugaya, K. Miyazaki, T.K. Doy, M. Kinoshita, Characteristics of abrasive-free
micelle slurry for copper CMP, J. Electrochem. Soc. 150 (2003) G532.
[163] O.C. Oehler, C. Flores-Snyder, Characterization of silica slurry agglomeration in response to applied shear stress, in:
Presented at the Clarkson University CAMP 4th International Symposium on CMP, Lake Placid, NY, 1999.
[164] R.G. Larson, K.E. Gubbins, Structure and Rheology of Complex Fluids, Oxford University Press, New York, 1999.
[165] W. Lortz, F. Menzel, R. Brandes, F. Klaessig, T. Knothe, T. Shibasaki, News from the M in CMP-viscosity of CMP
slurries, a constant? Mater Res. Soc. Symp. Proc. 767 (2003) F1.7.
[166] Z. Stavreva, D. Zeidler, M. Plötner, K. Drescher, Influence of process parameters on chemical–mechanical polishing
of copper, Microelectron. Eng. 37–38 (1997) 143.
[167] D. Castillo-Mejia, J. Kelchner, S. Beaudoin, Polishing pad surface morphology and chemical mechanical planariza-
tion, J. Electrochem. Soc. 151 (2004) G271.
[168] C.-Y. Chen, C.-C. Yu, S.-H. Shen, M. Ho, Operational aspects of chemical mechanical polishing polish pad profile
optimization, J. Electrochem. Soc. 147 (2000) 3922.
[169] Y.-R. Jeng, P.-Y. Huang, W.-C. Pan, Tribological analysis of CMP with partial asperity contact, J. Electrochem. Soc.
150 (2003) G630.
[170] C. Hepburn, Polyurethane Elastomers, Applied Science, London, 1982.
[171] I. Li, K.M. Forsthoefel, K.A. Richardson, Y.S. Obeng, W.G. Easter, A. Maury, Dynamic mechanical analysis (DMA)
of CMP pad materials, Mater. Res. Soc. Symp. Proc. 613 (2000) E7.3.
[172] P.C. Powell, Engineering with Polymers, Chapman & Hall, New York, 1983.
[173] P. Zantye, S. Mudhivarthi, A.K. Sikder, A. Kumar, Y. Obeng, Metrology of Psiloquest’s application specific polishing
pads, Mater. Res. Soc. Symp. Proc. 816 (2004) K5.6.
[174] M. Ginic-Markovic, N.R. Choudhury, M. Dimopoulos, D.R.G. Williams, J. Matisons, Characterization of elastomer
compounds by thermal analysis, Thermochim. Acta 316 (1) (1998) 87.
[175] P. Zantye, S. Mudhivarthi, A.K. Sikder, A. Kumar, S. Ostapenko, J. Harmon, Investigation of mechanical integrity and
its effect on polishing for novel polyurethane polishing pad, Mater. Res. Soc. Symp. Proc. 816 (2004) K4.7.
[176] A.K. Sikder, personal communication (unpublished work), 2004.
[177] J. Warnock, A two-dimensional process model for chemimechanical polish planarization, J. Electrochem. Soc. 138 (8)
(1991) 2392.
[178] J. Vlassak, A contact-mechanics based model for dishing and erosion in chemical–mechanical polishing, Mater. Res.
Soc. Symp. Proc. 671 (2001) M4.6.
[179] J.A. Greenwood, J.P.B. Williamsson, Contact of nominally flat surfaces, Proc. R. Soc. A 295 (1966) 300.
[180] K.L. Johnson, Contact Mechanics, Cambridge University Press, Cambridge, 1985.
[181] A. Philipossian, S. Olsen, Effect of pad surface texture and slurry abrasive concentration on tribological and kinetic
attributes of ILD CMP, Mater. Res. Soc. Symp. Proc. 767 (2003) F2.8.
[182] A.S. Lawing, Pad conditioning and surface characterization in oxide chemical mechanical polishing, Mater. Res. Soc.
Symp. Proc. 732E (2002) I5.3.
[183] L.J. Borucki, Mechanical modelling of polish rate decay in chemical mechanical polishing, J. Eng. Math. 43 (2) (2002)
105.
[184] V.H. Nguyen, A.J. Hof, H. van Kranenburg, P.H. Woerlee, F. Weimar, Copper chemical mechanical polishing using
fixed-abrasives polishing pad, in: Proceedings of Second STW-SAFE Workshop, 1999, p. 339.
[185] P. van Velden, F. Weimar, Chemical mechanical polishing using fixed abrasives, Presented at CMP Proc. Semicon
Europa 98, 1998.
[186] A. Grill, V. Patel, Ultra low-k dielectrics prepared by plasma-enhanced chemical vapor deposition, Appl. Phys. Lett.
79 (6) (2001) 803.
[187] K. Maex, M.R. Baklanov, D. Shamiryan, F. Lacopi, S.H. Brongersma, Z.S. Yanovitskaya, Low dielectric constant
materials for microelectronics, J. Appl. Phys. 93 (2003) 8793.
[188] C.M. Flannery, T. Wittkowski, K. Jung, B. Hillebrands, M.R. Baklanov, Critical properties of nanoporous low
dielectric constant films revealed by Brillouin light scattering and surface acoustic wave spectroscopy, Appl. Phys.
Lett. 80 (24) (2002) 4594.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 217
[189] Y.-H. Kim, M.S. Hwang, H.J. Kim, J.Y. Kim, Y. Lee, Infrared spectroscopy study of low-dielectric-constant fluorine-
incorporated and carbon-incorporated silicon oxide films, J. Appl. Phys. 90 (7) (2001) 3367.
[190] T. Ryan, R. Fox III, Low-k dielectric materials for advanced interconnect applications, Future Fab. 8 (2000).
[191] Applications note MAL123, Introducing low-k dielectrics into semiconductor processing, www.mykrolis.com.
[192] C.L. Borst, V. Korthuis, G.B. Shinn, J.D. Luttmer, R.J. Gutmann, W.N. Gill, Novel mechanism for the chemical–
mechanical polishing of SiOC organosilicate glasses: the effect of film carbon content, Thin Solid Films 385 (2001)
281.
[193] S. Merchant, S.H. Kang, M. Sanganeria, B. van Schravendijk, T. Mountsier, Copper interconnects for semiconductor
devices, JOM (2001) 43.
[194] Y.-H. Kim, M.-S. Hwang, H.J. Kim, Y. Lee, J.Y. Kim, Infrared spectroscopy study of low-dielectric-constant fluorine-
incorporated and carbon-incorporated silicon oxide films, J. Appl. Phys. 90 (2001) 3367.
[195] T. Homma, Low dielectric constant materials and methods for interlayer dielectric films in ultra large-scale integrated
circuit multilevel interconnections, Mater. Sci. Eng. R23 (1998) 243.
[196] D.W. Gidley, W.E. Frieze, T.L. Dull, J. Sun, A.F. Yee, C.V. Nguyen, D.Y. Yoon, Determination of pore-size
distribution in low-dielectric thin films, Appl. Phys. Lett. 76 (10) (2000) 1282.
[197] L. Peters, Low-k dielectrics: will spin-on or CVD prevail? Semicond. Int. (2000) 108.
[198] L. Pauling, The Nature of the Chemical Bond, third ed. Cornell University Press, Ithaca, NY, 1960.
[199] J.W. Yi, Y.H. Lee, B. Farouk, Low dielectric fluorinated amorphous carbon thin films grown from C6F6 and Ar
plasma, Thin Solid Films 374 (2000) 103.
[200] R.K. Laxman, N.H. Hendricks, B. Arkles, T.A. Tabler, Synthesizing low-K CVD materials for Fab use, Semicond. Int.
(2000).
[201] A.K. Sikder, A. Kumar, S. Thagella, J. Yota, Effects of properties and growth parameters of doped and undoped silicon
oxide films on wear behavior during chemical mechanical planarization process 19 (4) (2004) 996.
[202] E. Korczynski, HDP-CVD: trying to lasso lightning, Solid State Technol. 39 (4) (1996) 63.
[203] M.R. Baklanov, M. Muroyama, M. Judelewicz, E. Kondoh, H. Li, J. Waeterloos, S. Vanhaelemeersch, K. Maex,
Process integration induced thermodesorption from SiO2/SiLK resind dielectric based interconnects, J. Vac. Sci.
Technol. B17 (5) (1999) 2136.
[204] X. Xiao, N. Hata, K. Yamada, T. Kikkawa, Mechanical properties of periodic porous silica low-k films determined by
the twin-transducer surface acoustic wave technique, Rev. Sci. Instrum. 74 (10) (2003) 4539.
[205] D. Shamiryan, T. Abell, F. Lacopi, K. Maex, Low k dielectric materials, Mater. Today 7 (1) (2004) 34.
[206] M.R. McGurk, T.F. Page, Using the P-b 2 analysis to deconvolute the nanoindentation response of hard-coated
systems, J. Mater. Res. 14 (1999) 2283.
[207] C. Taylor, R. Prince, A.P. Malshe, L. Riester, G.J. Salamo, S.O. Cho, Investigation of ultralow-load nanoindentation
for the patterning of nanostructures, Proc. SPIE Int. Soc. Opt. Eng. 4936 (2002) 424.
[208] J.-H. Ahn, D. Kwon, Micromechanical estimation of composite hardness using nanoindentation technique for thin-
film coated system, Mater. Sci. Eng. A285 (2000) 172.
[209] N.X. Randall, A. Harris, Nanoindentation as a tool for characterizing the mechanical properties of tribological transfer
films, Wear 245 (1–2) (2000) 196.
[210] G.M. Pharr, Measurement of mechanical properties by ultra-low load indentation, Mater. Sci. Eng. A253 (1998)
151.
[211] W.C. Oliver, G.M. Pharr, An improved technique for determining hardness and elastic modulus using load and
displacement sensing indentation experiments, J. Mater. Res. 7 (1992) 1564.
[212] A.K. Sikder, I.M. Irfan, A. Kumar, J.M. Anthony, Nano-indentation studies of xerogel and SiLK low-K dielectric
materials, J. Electron. Mater. 30 (12) (2001) 1527.
[213] T. Chudoba, F. Richter, Investigation of creep behaviour under load during indentation experiments and its influence
on hardness and modulus results, Surf. Coat. Technol. 148 (2000) 191.
[214] J.A. Knapp, D.M. Follstaedt, S.M. Myers, J.C. Barbour, T.A. Friedmann, Finite-element modeling of nanoindentation,
J. Appl. Phys. 85 (1999) 1460.
[215] A.K. Sikder, A. Kumar, Mechanical and tribological properties of interlayer films for the damascene-Cu chemical–
mechanical planarization process, J. Electron. Mater. 31 (10) (2002) 1016.
[216] A.K. Sikder, I.M. Irfan, A. Kumar, R. Durvin, M. McDonough, M.D. Smith, Measurement of mechanical properties of
single and multi-layered nitride thin films prepared by cathodic arc deposition, Mater. Res. Soc. Sym. Proc. 697 (2001)
P5.4.
[217] E. Hartmannsgruber, G. Zwicker, K. Beekmann, A selective CMP process for stacked low-k CVD oxide films,
Microelectron. Eng. 50 (2000) 53.
218 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
[218] A. Bastawros, A. Chandra, Y. Guo, B. Yan, Pad effects on material-removal rate in chemical–mechanical
planarization, J. Electron. Mater. 31 (10) (2002) 1022.
[219] W. Tseng, Y. Hsieh, C. Lin, M. Tsai, M. Feng, Chemical mechanical polishing and material characterization
of plasma-enhanced chemical vapor deposited fluorinated oxide thin films, J. Electrochem. Soc. 144 (3) (1997)
1100.
[220] Z.-C. Wu, Z.-W. Shiung, C.-C. Chiang, W.-H. Wu, M.-C. Chen, S.-M. Jeng, W. Chang, P.-F. Chou, S.-M. Jang, C.-H.
Yu, M.-S. Liang, Physical and electrical characteristics of F- and C-doped low dielectric constant chemical vapor
deposited oxides, J. Electrochem. Soc. 148 (2001) F115.
[221] K. Kim, S. Park, G.S. Lee, Improvement of moisture resistance in fluoride silicon oxide film by adding Ar, J.
Electrochem. Soc. 146 (1999) 3799.
[222] C.L. Borst, D.G. Thakurta, W.N. Gill, R.J. Gutmann, Chemical–mechanical planarization of low-k polymers for
advanced IC structures, J. Electron. Packaging 124 (4) (2002) 362.
[223] S.R. Runnels, L.M. Eymann, Sematech. Rep. (1993).
[224] S.R. Runnels, Feature-scale fluid-based erosion modeling for chemical–mechanical polishing, J. Electrochem. Soc.
141 (1994) 1900.
[225] D. Wang, J. Lee, K. Holland, T. Bibby, S. Beaudoin, T. Cale, Von Mises stress in CMP processes, J. Electrochem. Soc.
144 (1997) 1121.
[226] J. Tichy, J.A. Leveret, L. Shan, S. Danyluk, Contact mechanics and lubrication hydrodynamics of chemical
mechanical polishing, J. Electrochem. Soc. 146 (1999) 1523.
[227] R. Xu, G. Smart, M. Zhang, Proceedings of the Fourth International Chemical–Mechanical Planarization for ULSI
Multilevel Interconnection Conference, Santa Clara, CA, 1999, pp. 253–255.
[228] Y. Moon, D.A. Dornfeld, Mechanical properties and relationship to process performance of the polishing pad in
chemical mechanical polishing (CMP) of silicon, in: Proceedings of the American Society for Precision Engineering
(ASPE), ASPE Spring Topical Conference on Silicon, Monterey, April 1998, p. 84.
[229] M.C. Pohl, D.A. Griffiths, The importance of particle size and the performance of abrasive particles in the CMP
process, J. Electron. Mater. 25 (1996) 1612.
[230] S. Thagella, A.K. Sikder, A. Kumar, Tribological issues and modeling of removal rate of low-k films in CMP, J.
Electrochem. Soc. 151 (2004) G205.
[231] G. Ahmadi, X. Xia, A model for mechanical wear and abrasive particle adhesion during the chemical mechanical
polishing process, J. Electrochem. Soc. 148 (3) (2001) G99.
[232] A.K. Sikder, I.M. Irfan, A. Kumar, A. Belyaev, S. Ostapenko, M. Calves, J.P. Harmon, J.M. Anthony, Evaluation of
mechanical and tribological behavior and surface characteristics of CMP pads, Mater. Res. Soc. Symp. Proc. 670
(2001) M1.8.
[233] M.R. Oliver, CMP pad surface roughness and CMP removal rate, Internal Report, 1998.
[234] F.G. Shi, B. Zhao, Modeling of chemical–mechanical polishing with soft pads, Appl. Phys. A 67 (2) (1998)
249.
[235] F. Zhang, A.A. Busnaina, G. Ahmadi, Particle adhesion and removal in chemical mechanical polishing and post-cmp
cleaning, J. Electrochem. Soc. 146 (1999) 2665.
[236] F. Zhang, A. Busnaina, The role of particle adhesion and surface deformation in chemical mechanical polishing
processes, Electrochem. Solid-State Lett. 1 (1998) 184.
[237] D.O. Ouma, Ph.D. dissertation, Department of Electrical Engineering, MIT, MA, 1998.
[238] J. Zabasajja, T. Merchant, B. Ng, S. Banerjee, D. Green, S. Lawing, H. Kura, Modeling and characterization of
tungsten chemical and mechanical polishing processes, J. Electrochem. Soc. 148 (2) (2001) G73.
[239] R.R. Divecha, B.E. Stine, D.O. Ouma, E.C. Chang, Identifying sources of variation in oxide chemical–mechanical
polishing, J. Electrochem. Soc. 145 (3) (1998) 1052.
[240] E. Paul, A model of chemical mechanical polishing, J. Electrochem. Soc. 148 (6) (2001) G355.
[241] H. Hocheng, H.Y. Tsai, Y.T. Su, Modeling and experimental analysis of the material removal rate in the chemical
mechanical planarization of dielectric films and bare silicon wafers, J. Electrochem. Soc. 148 (10) (2001) G581.
[242] W.-T. Tseng, J.-H. Chin, L.-C. Kang, A comparative study on the roles of velocity in the material removal rate during
chemical mechanical polishing, J. Electrochem. Soc. 146 (5) (1999) 1952.
[243] N. Yamada, T. Takahashi, Methylsiloxane spin-on-glass films for low dielectric constant interlayer dielectrics, J.
Electrochem. Soc. 147 (4) (2000) 1477.
[244] S.S. Sundararajan, D.G. Thakurta, D.W. Schwendeman, S.P. Murarka, W.N. Gill, Two-dimensional wafer-scale
chemical mechanical planarization models based on lubrication theory and mass transport, J. Electrochem. Soc. 146
(1999) 761.
P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220 219
[245] D.G. Thakurta, C.L. Borst, D.W. Schwendeman, R.J. Gutmann, W.N. Gill, Pad porosity, compressibility and slurry
delivery effects in chemical–mechanical planariztion: modeling and experiments, Thin Solid Films 366 (1–2) (2000)
181.
[246] D.G. Thakurta, C.L. Borst, D.W. Schwendeman, R.J. Gutmann, W.N. Gill, Three-dimensional chemical mechanical
planarization slurry flow model based on lubrication theory, J. Electrochem. Soc. 148 (2001) G207.
[247] H. Liang, F. Kaufman, R. Sevilla, S. Anjur, Wear phenomena in chemical mechanical polishing, Wear 211 (2) (1997)
271.
[248] T.-H. Tsai, Y.-F. Wu, S.-C Yen, A study of copper chemical mechanical polishing in urea–hydrogen peroxide slurry by
electrochemical impedance spectroscopy, Appl. Surf. Sci. 214 (1) (2003) 120.
[249] Q. Luo, D.R. Campbell, S.V. Babu, Chemical–mechanical polishing of copper in alkaline media, Thin Solid Films 311
(1–2) (1997) 177.
[250] V. Nguyen, H. VanKranenburg, P. Woerlee, Dependency of dishing on polish time and slurry chemistry in Cu CMP,
Microelectron. Eng. 50 (2000) 403.
[251] D. Zeidler, Z. Stavreva, M. Plotner, K. Drescher, Characterization of Cu chemical mechanical polishing by
electrochemical investigations, Microelectron. Eng. 33 (1–4) (1997) 259.
[252] T. Du, D. Tamboli, V. Desai, S. Seal, Mechanism of copper removal during CMP in acidic H2O2 slurry, J. Electrochem.
Soc. 151 (2004) G230.
[253] O. Kubaschewaki, B.E. Hopkins, Oxidation of Metals and Alloys, Butterworths, London, 1953.
[254] T. Du, D. Tamboli, V. Desai, Electrochemical characterization of copper chemical mechanical polishing, Micro-
electron. Eng. 69 (1) (2003) 1.
[255] J.M. Steigerwald, R. Zirpoli, S.P. Murarka, D. Price, R.J. Gutmann, Pattern geometry effects in the chemical–
mechanical polishing of inlaid copper structures, Electrochem. Soc. Lett. 141 (1994) 2842.
[256] J.M. Steigerwald, S.P. Murarka, R.J. Gutmann, D.J. Duquette, Effect of copper ions in the slurry on the chemical–
mechanical polish rate of titanium, Electrochem. Soc. Lett. 141 (1994) 3512.
[257] J.M. Steigerwald, D.J. Duquette, S.P. Murarka, R.J. Gutmann, Electrochemical potential measurements during the
chemical–mechanical polishing of copper thin films, J. Electrochem. Soc. 142 (7) (1995) 2397.
[258] J.M. Steigerwald, S.P. Murarka, R.J. Gutmann, D.J. Duquette, Chemical processes in the chemical mechanical
polishing of copper, Mater. Chem. Phys. 41 (3) (1995) 217.
[259] E.B. Sandell, Colorimetric determination of traces of metals, Interscience, New York, 1950.
[260] R. Carpio, J. Farkas, R. Jairath, Initial study on copper CMP slurry chemistries, Thin Solid Films 266 (1995) 238.
[261] Y. Ein-Eli, E. Abelev, E. Rabkin, D. Starosvetsky, The compatibility of copper CMP slurries with CMP requirements,
J. Electrochem. Soc. 150 (9) (2003) C646.
[262] Y. Ein-Eli, E. Abelev, D. Starosvetsky, Electrochemical behavior of copper in conductive peroxide solutions, J.
Electrochem. Soc. 151 (2004) G236.
[263] C.-W. Liu, B.-T. Dai, C.-F. Yeh, Post cleaning of chemical mechanical polishing process, Appl. Surf. Sci. 92 (1996)
166.
[264] G.M. Burdick, N.S. Berman, S.P. Beaudoin, A theoretical analysis of brush scrubbing following chemical mechanical
polishing, J. Electrochem. Soc. 150 (2) (2003) G140.
[265] Y. Liu, K. Zhang, F. ng Wang, Y. Han, Study on the cleaning of silicon after CMP in ULSI, Microelectron. Eng. 66
(2003) 433.
[266] F. Zhang, A.A. Busnaina, G. Ahmadi, Particle adhesion and removal in chemical mechanical polishing and post-CMP
cleaning, J. Electrochem. Soc. 146 (7) (1999) 2665.
[267] G. Zhang, G. Burdick, F. Dai, T. Bibby, S. Beaudoin, Assessment of post-CMP cleaning mechanisms using
statistically designed experiments, Thin Solid Films 332 (1998) 369.
[268] S. Ramachandran, A.A. Busnaina, R. Small, C. Shang, Z. Chen, Non-contact post-CMP cleaning of thermal oxide
wafers using chelating basic chemistry, Internet web site: http://www.ekctech.com/post-cmp.htm, # E.I. Dupont de
Numerous and Company.
[269] J.-M. Lim, B.-Y. Jeon, C. Lee, Dry cleaning for metallic contaminants removal as the second cleaning process after the
CMP process, Mater. Chem. Phys. 60 (2001) 129.
[270] F. Tardif, I. Constant, T. Lardin, O. Demolliens, M. Fayolle, Y. Gobil, J. Palleau, J. Torres, Cleaning after silicon oxide
CMP, Microelectron. Eng. 36–38 (1996) 285.
[271] C.-F. Yeh, C.-W. Hsiao, W.-S. Lee, Novel post CMP cleaning using buffered HF solution and ozone water, Appl. Surf.
Sci. 216 (2003) 46.
[272] Y.L. Wang, C. Liu, M.S. Feng, W.T. Tseng, A Modified multi-chemicals spray cleaning process for post-CMP
cleaning application, Mater. Chem. Phys. 52 (1998) 23.
220 P.B. Zantye et al. / Materials Science and Engineering R 45 (2004) 89–220
[273] G.M. Burdick, N.S. Berman, S.P. Beaudoin, Describing hydrodynamic particle removal from surfaces using the
particle Reynolds number, J. Nanopart. Res. 3 (2001) 455.
[274] N. Moumen, A.A. Busnaina, Clarkson University, Potsdam, NY, USA, Post-CMP cleaning of thermal-oxides, Internet
web site: http://www.semiconductorfabtech.com/journals/edition.12/fabtech12.pdfs/ft12_pg293.pdf, # Henry
Media Group Ltd., 2004.
[275] A.A. Busnaina, T.M. Elsawy, Post CMP cleaning using acoustic streaming, J. Electron. Mater. 27 (10) (1998) 1095
(special issue paper).
[276] J. Perel, C. Sujo, J.F. Mahoney, A novel precision cleaning method using microcluster beams, Precision Cleaning
Mag., September 1999, Internet web site: http://www.phrasor.com/patents.html, # Phrasor Scientific Inc.