TCI6636K2H Datamanual
TCI6636K2H Datamanual
TCI6636K2H Datamanual
Data Manual
PRODUCT PREVIEW information applies to products in the formative or design phase of development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or discontinue these products without notice.
Release History
Release SPRS835D Date August 2013 Description/Comments Added SRIOSGMIICLK clocking info to the table. (Page 305) Corrected USBVBUS terminal designation. It is not reserved. (Page 58) Added the bridge numbers to the Interconnect tables in the System Interconnect chapter (Page 194) Added the TeraNet drawings to the System Interconnect chapter (Page 190) Updated the Power-Up Sequence information in the Peripheral Information and Electrical Specifications chapter (Page 271) Corrected Event (48-80) Names (Page 116) Changed SerDes field to Reserved as it is not implemented (Page 224) Added DEVSPEED address (Page 241) Removed PLLLOCK LOCK, STAT and EVAL registers (Page 241) Changed CPTS_RFTCLK_SEL from three bits to four bits (Page 326) SPRS835C May 2013 Updated BOOTMODE pins and MIN information (Page 192) Added the Boot Parameter Table section (Page 207) Changed bit to pin (Page 208) Updated the PWRSTATECTL register (Page 229) Updated the ALNCTL Register in the Peripheral Information and Electrical Specifications chapter. (Page 268) Updated the DCHANGE Register in the Peripheral Information and Electrical Specifications chapter. (Page 268) Corrected rise and fall time of all differential clock pairs (Page 275) Added ARMCLK specification (Page 275) Corrected rise and fall time of differential clock pairs (Page 276) Changed to not support external charge pump for 5V (Page 305) Added additional information (Page 309) Updated BOOTMODE pins and MIN information (Page 192) SPRS835B November 2012 Added Terminal Functions Reorganized memory content in Memory, Interrupts, and EDMA for TCI6636K2H Added device Pin Map SPRS835A August 2012 Added C66x CorePac chapter. Added ARM CorePac chapter. Added Memory Map and Terminals chapter. Added System Interconnect chapter. Added Device Boot and Configuration chapter. Added Security section Added Device Operating Conditions chapter. Added Peripheral Information and Electrical Specifications chapter. Added Mechanical Data chapter. Added thermal values into the Thermal Resistance Characteristics table. SPRS835 February 2012 Initial Release
Release History
SPRS835DAugust 2013
Contents
1 TCI6636K2H Features and Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13
1.1 1.2 1.3 1.4 1.5 1.6 1.7 1.8 1.9 Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13 KeyStone Architecture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14 Device Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .14 Enhancements in KeyStone II . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .15 Functional Block Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16 Device Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17 C66x DSP CorePac . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .18 ARM CorePac. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19 Development Tools. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19 1.9.1 Development Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19 1.9.2 Device and Development-Support Tool Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .19 1.9.3 Device Nomenclature . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20 1.10 Related Documentation from Texas Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .21
Terminals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35
4.1 4.2 4.3 4.4 Package Terminals. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35 Pin Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35 Terminal Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40 Pullup/Pulldown Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .82
Contents
EDMA3 Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EDMA3 Channel Controller Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EDMA3 Transfer Controller Configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . EDMA3 Channel Synchronization Events. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
178 178 178 179 188 189 198 208 209 209 211 233 233 235 235 236 236 261 262 263 264 265 265 266 272 273 273 275 275 276 277 282 282 283 284 284 285 285 285 285 286 288 291 297 298 299 302 302 303 304 304 305 305 306
Contents
SPRS835DAugust 2013 306 307 307 308 308 309 309 309 309 310 311 313 313 316 318 319 319 320 320 322 323 323 323 324 324 324 324 324 325 325 325 325 325 326 326 327 329 329 329 329 330 330 330 333 333 334 336 337 338 339 339 341 342 342
9.7.4 PASS PLL Input Clock Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.8 External Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.8.1 External Interrupts Electrical Data/Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.9 DDR3A and DDR3B Memory Controllers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.9.1 DDR3 Memory Controller Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.9.2 DDR3 Slew Rate Control. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.9.3 DDR3 Memory Controller Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.10 I2C Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.10.1 I2C Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.10.2 I2C Peripheral Register Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.10.3 I2C Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.11 SPI Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.11.1 SPI Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.12 HyperLink Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.13 UART Peripheral. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.14 PCIe Peripheral. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.15 Packet Accelerator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.16 Security Accelerator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.17 Network Coprocessor Gigabit Ethernet (GbE) Switch Subsystem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.18 SGMII Management Data Input/Output (MDIO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.19 Timers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.19.1 Timers Device-Specific Information. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.19.2 Timers Electrical Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.20 Rake Search Accelerator (RSA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.21 Enhanced Viterbi-Decoder Coprocessor (VCP2). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.22 Turbo Decoder Coprocessor (TCP3d). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.23 Turbo Encoder Coprocessor (TCP3e) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.24 Bit Rate Coprocessor (BCP). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.25 Serial RapidIO (SRIO) Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.25.1 Serial RapidIO Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.26 General-Purpose Input/Output (GPIO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.26.1 GPIO Device-Specific Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.26.2 GPIO Peripheral Register Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.26.3 GPIO Electrical Data/Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.27 Semaphore2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.28 Antenna Interface Subsystem 2 (AIF2). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.29 Receive Accelerator Coprocessor (RAC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.30 Transmit Accelerator Coprocessor (TAC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.31 Fast Fourier Transform Coprocessor (FFTC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.32 Universal Serial Bus 3.0 (USB 3.0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.33 Universal Subscriber Identity Module (USIM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.34 EMIF16 Peripheral . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.34.1 EMIF16 Electrical Data/Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.35 Emulation Features and Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.35.1 Chip Level Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.35.2 ICEPick Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36 Debug Port (EMUx). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36.1 Concurrent Use of Debug Port . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36.2 Master ID for HW and SW Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36.3 SoC Cross-Triggering Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36.4 Peripherals-Related Debug Requirement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36.5 Advance Event Triggering (AET) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36.6 Trace . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.36.7 IEEE 1149.1 JTAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
Contents
List of Figures
Figure 1-1 Figure 1-2 Figure 2-1 Figure 2-2 Figure 2-3 Figure 2-4 Figure 2-5 Figure 3-1 Figure 3-2 Figure 4-1 Figure 4-2 Figure 4-3 Figure 4-4 Figure 4-5 Figure 4-6 Figure 5-1 Figure 5-2 Figure 5-3 Figure 5-4 Figure 6-1 Figure 6-2 Figure 6-3 Figure 6-4 Figure 6-5 Figure 6-6 Figure 6-7 Figure 6-8 Figure 6-9 Figure 7-1 Figure 7-2 Figure 7-3 Figure 7-4 Figure 7-5 Figure 7-6 Figure 7-7 Figure 7-8 Figure 7-9 Figure 7-10 Figure 7-11 Figure 7-12 Figure 7-13 Figure 7-14 Figure 7-15 Figure 7-16 Figure 7-17 Figure 7-18 Figure 7-19 Figure 7-20 Figure 7-21 Figure 7-22 Figure 7-23 Figure 7-24 6 Functional Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .16 C66x DSP Device Nomenclature (including the TCI6636K2H DSP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .20 C66x CorePac Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .23 L1P Memory Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .24 L1D Memory Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .25 L2 Memory Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .26 CorePac Revision ID Register (MM_REVID) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29 KeyStone II ARM CorePac Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .30 ARM Interrupt Controller for Four Cortex-A15 Processor Cores . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .33 AAW 1517-PIN BGA Package (Bottom View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35 Pin Map Panels (Bottom View) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .35 TCI6636K2K Pin Map Left Side Panel (A) Bottom View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .36 TCI6636K2K Pin Map Left Center Panel (B) Bottom View. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .37 TCI6636K2K Pin Map Right Center Panel (C) Bottom View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .38 TCI6636K2K Pin Map Right Side Panel (D) Bottom View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .39 Configuration Register (CONFIG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102 Programmable Range n Start Address Register (PROGn_MPSAR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103 Programmable Range n End Address Register (PROGn_MPEAR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .104 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPAR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . .106 TeraNet 3_A-1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .189 TeraNet 3_A-2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .190 TeraNet 3_C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .191 TeraNet C66x to SDMA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .192 TeraNet 3P_A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .198 TeraNet 3P_B. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .199 TeraNet 6P_B. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .200 TeraNet 3P_Tracer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .201 Packed DMA Priority Allocation Register (PKTDMA_PRI_ALLOC). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .208 DEVSTAT Boot Mode Pins ROM Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .212 Sleep Boot Mode Configuration Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .214 I2C Passive Mode Device Configuration Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .215 I2C Master Mode Device Configuration Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .216 SPI Device Configuration Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .216 EMIF Boot Device Configuration Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .217 NAND Boot Device Configuration Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .218 Serial Rapid I/O Boot Device Configuration Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .219 Ethernet (SGMII) Boot Device Configuration Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .221 PCIe Boot Device Configuration Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .222 HyperLink Boot Device Configuration Fields. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .223 UART Boot Mode Configuration Field Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .224 Device Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .240 Device Configuration Register (DEVCFG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .241 JTAG ID (JTAGID) Register. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .241 LRESETNMI PIN Status Register (LRSTNMIPINSTAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .242 LRESETNMI PIN Status Clear Register (LRSTNMIPINSTAT_CLR) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .243 Reset Status Register (RESET_STAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .244 Reset Status Clear Register (RESET_STAT_CLR). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .244 Boot Complete Register (BOOTCOMPLETE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .245 Power State Control Register (PWRSTATECTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .247 NMI Generation Register (NMIGRx) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .248 IPC Generation Registers (IPCGRx) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .248 IPC Acknowledgement Registers (IPCARx) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .249 Copyright 2013 Texas Instruments Incorporated
List of Figures
SPRS835DAugust 2013 IPC Generation Registers (IPCGRH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250 IPC Acknowledgement Register (IPCARH) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250 Timer Input Selection Register (TINPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .251 Timer Output Selection Register (TOUTPSEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .253 Reset Mux Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .255 Device Speed Register (DEVSPEED) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .256 ARM Endian Configuration Register 0 (ARMENDIAN_CFGr_0), r=0..7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .257 ARM Endian Configuration Register 1 (ARMENDIAN_CFGr_1), r=0..7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .257 ARM Endian Configuration Register 2 (ARMENDIAN_CFGr_2), r=0..7. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .258 Chip Miscellaneous Control Register (CHIP_MISC_CTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .258 Chip Miscellaneous Control Register (CHIP_MISC_CTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .259 System Endian Status Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .259 SYNECLK_PINCTL Register . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .260 Core Before IO Power Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .269 IO-Before-Core Power Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .271 SmartReflex 4-Pin 6-bit VID Interface Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .274 RESETFULL Reset Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .286 Soft/Hard Reset Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .286 Boot Configuration Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .286 Main PLL and PLL Controller . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .287 PLL Secondary Control Register (SECCTL). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .292 PLL Controller Divider Register (PLLDIVn) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .292 PLL Controller Clock Align Control Register (ALNCTL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .293 PLLDIV Divider Ratio Change Status Register (DCHANGE) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .293 SYSCLK Status Register (SYSTAT) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .294 Reset Type Status Register (RSTYPE). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .294 Reset Control Register (RSTCTRL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .295 Reset Configuration Register (RSTCFG) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .296 Reset Isolation Register (RSISO) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .296 Main PLL Control Register 0 (MAINPLLCTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .297 Main PLL Control Register 1 (MAINPLLCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .298 ARM PLL Control Register 0 (ARMPLLCTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .298 ARM PLL Control Register 1 (ARMPLLCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .299 Main PLL Controller/SRIO/HyperLink/PCIe Clock Input Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .301 Main PLL Transition Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .302 DDR3A PLL and DDR3B PLL Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .302 DDR3A PLL and DDR3B PLL Control Register 0 (DDR3APLLCTL0/DDR3BPLLCTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .302 DDR3A PLL and DDR3B PLL Control Register 1 (DDR3APLLCTL0/DDR3BPLLCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .303 DDR3 PLL DDRCLK Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .304 PASS PLL Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .304 PASS PLL Control Register 0 (PASSPLLCTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .305 PASS PLL Control Register 1 (PASSPLLCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .305 PASS PLL Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .306 NMI and LRESET Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .307 I2C Module Block Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .310 I2C Receive Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .312 I2C Transmit Timings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .313 SPI Master Mode Timing Diagrams Base Timings for 3-Pin Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .315 SPI Additional Timings for 4-Pin Master Mode with Chip Select Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .315 HyperLink Station Management Clock Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .317 HyperLink Station Management Transmit Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .317 HyperLink Station Management Receive Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .317 UART Receive Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .318 UART CTS (Clear-to-Send Input) Autoflow Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .318 List of Figures 7
Figure 7-25 Figure 7-26 Figure 7-27 Figure 7-28 Figure 7-29 Figure 7-30 Figure 7-1 Figure 7-2 Figure 7-3 Figure 7-4 Figure 7-5 Figure 7-6 Figure 7-7 Figure 9-1 Figure 9-2 Figure 9-3 Figure 9-4 Figure 9-5 Figure 9-6 Figure 9-7 Figure 9-8 Figure 9-9 Figure 9-10 Figure 9-11 Figure 9-12 Figure 9-13 Figure 9-14 Figure 9-15 Figure 9-16 Figure 9-17 Figure 9-18 Figure 9-19 Figure 9-20 Figure 9-21 Figure 9-22 Figure 9-23 Figure 9-24 Figure 9-25 Figure 9-26 Figure 9-27 Figure 9-28 Figure 9-29 Figure 9-30 Figure 9-31 Figure 9-32 Figure 9-33 Figure 9-34 Figure 9-35 Figure 9-36 Figure 9-37 Figure 9-38 Figure 9-39 Figure 9-40 Figure 9-41
UART Transmit Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .319 UART RTS (Request-to-Send Output) Autoflow Timing Waveform . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .319 MACID1 Register (MMR Address 0x02620110) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .320 MACID2 Register (MMR Address 0x02620114) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .320 RFTCLK Select Register (CPTS_RFTCLK_SEL) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .321 MDIO Input Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .322 MDIO Output Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .322 Timer Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .323 GPIO Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .326 AIF2 RP1 Frame Synchronization Clock Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .328 AIF2 RP1 Frame Synchronization Burst Timing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .328 AIF2 Physical Layer Synchronization Pulse Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .328 AIF2 Radio Synchronization Pulse Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .328 AIF2 Timer External Frame Event Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .329 EMIF16 Asynchronous Memory Read Timing Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .331 EMIF16 Asynchronous Memory Write Timing Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .332 EMIF16 EM_WAIT Read Timing Diagram. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .332 EMIF16 EM_WAIT Write Timing Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .332 Trace Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .342 JTAG Test-Port Timing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .343
List of Figures
SPRS835DAugust 2013
List of Tables
Table 1-1 Table 2-1 Table 2-2 Table 3-1 Table 4-1 Table 4-2 Table 4-3 Table 4-4 Table 4-5 Table 5-1 Table 5-2 Table 5-3 Table 5-4 Table 5-5 Table 5-6 Table 5-7 Table 5-8 Table 5-9 Table 5-10 Table 5-11 Table 5-12 Table 5-13 Table 5-14 Table 5-15 Table 5-16 Table 5-17 Table 5-18 Table 5-19 Table 5-20 Table 5-21 Table 5-22 Table 5-23 Table 5-24 Table 5-25 Table 5-26 Table 5-27 Table 5-28 Table 5-29 Table 5-30 Table 5-31 Table 5-32 Table 5-33 Table 5-34 Table 5-35 Table 5-36 Table 5-37 Table 5-38 Table 6-1 Table 6-2 Table 6-3 Table 6-4 Table 6-5 Characteristics of the TCI6636K2H Processor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .17 Available Memory Page Protection Schemes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .28 CorePac Revision ID Register (MM_REVID) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .29 Cortex-A15 Processor Core Supported Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .32 I/O Functional Symbol Definitions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40 Terminal Functions Signals and Control by Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .40 Terminal Functions Power and Ground . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .59 Terminal Functions By Signal Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .61 Terminal Functions By Ball Number . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .69 Device Memory Map Summary for TCI6636K2H . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .83 MPU0-MPU5 Default Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .95 MPU6-MPU11 Default Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .95 MPU12-MPU14 Default Configuration. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .96 MPU Memory Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .96 Master ID Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .96 Privilege ID Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .99 MPU Registers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .100 Configuration Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .102 Programmable Range n Start Address Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .103 MPU0-MPU5 Programmable Range n Start Address Register (PROGn_MPSAR) Reset Values . . . . . . . . . . . . . . . . . . . . . . . .103 MPU6-MPU11 Programmable Range n Start Address Register (PROGn_MPSAR) Reset Values. . . . . . . . . . . . . . . . . . . . . . .103 MPU12-MPU14 Programmable Range n Start Address Register (PROGn_MPSAR) Reset Values . . . . . . . . . . . . . . . . . . . . .104 Programmable Range n End Address Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .105 MPU0-MPU5 Programmable Range n End Address Register (PROGn_MPEAR) Reset Values. . . . . . . . . . . . . . . . . . . . . . . . .105 MPU6-MPU11 Programmable Range n End Address Register (PROGn_MPEAR) Reset Values . . . . . . . . . . . . . . . . . . . . . . .105 MPU12-MPU14 Programmable Range n End Address Register (PROGn_MPEAR) Reset Values . . . . . . . . . . . . . . . . . . . . . .106 Programmable Range n Memory Protection Page Attribute Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . .106 MPU0-MPU5 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPAR) Reset Values. . .108 MPU6-MPU11 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPAR) Reset Values. .109 MPU12-MPU14 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPAR) Reset Values 109 System Event Mapping C66x CorePac Primary Interrupts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .112 System Event Mapping ARM CorePac Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .115 CIC0 Event Inputs C66x CorePac Secondary Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .126 CIC1 Event Inputs C66x CorePac Secondary Interrupts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .137 CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .148 CIC0 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .159 CIC1 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .165 CIC2 Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .171 IPC Generation Registers (IPCGRx) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .175 LRESET and NMI Decoding. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .176 EDMA3 Channel Controller Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .178 EDMA3 Transfer Controller Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179 EDMA3CC0 Events for TCI6636K2H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .179 EDMA3CC1 Events for TCI6636K2H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .181 EDMA3CC2 Events for TCI6636K2H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .182 EDMA3CC3 Events for TCI6636K2H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .184 EDMA3CC4 Events for TCI6636K2H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .185 Data Space Interconnect -Section 1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .193 Data Space Interconnect - Section 2. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .195 Configuration Space Interconnect - Section 1. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .202 Configuration Space Interconnect -Section 2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .204 Configuration Space Interconnect - Section 3. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .206 List of Tables 9
Packed DMA Priority Allocation Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .208 C66x DSP Boot RAM Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .209 ARM Boot RAM Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .209 Boot Mode Pins: Boot Device Values . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .213 Sleep Boot Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .214 I2C Passive Mode Device Configuration Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .215 I2C Master Mode Device Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .216 SPI Device Configuration Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .217 EMIF Boot Device Configuration Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .218 NAND Boot Device Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .219 Serial Rapid I/O Boot Device Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .220 Ethernet (SGMII) Boot Device Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .221 PCIe Boot Device Configuration Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .222 BAR Config / PCIe Window Sizes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .223 HyperLink Boot Device Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .223 UART Boot Configuration Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .224 Boot Parameter Table Common Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .225 EMIF16 Boot Parameter Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .225 SRIO Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .226 Ethernet Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .227 PCIe Boot Parameter Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .228 I2C Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .229 SPI Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .229 HyperLink Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .230 UART Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .230 NAND Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .231 DDR3 Boot Parameter Table . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .232 System PLL Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .233 ARM PLL Configuration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .234 Device Configuration Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .235 Device State Control Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .236 Device Status Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .240 Device Configuration Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .241 JTAG ID Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .241 LRESETNMI PIN Status Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .242 LRESETNMI PIN Status Clear Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .243 Reset Status Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .244 Reset Status Clear Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .245 Boot Complete Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .246 Power State Control Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .247 NMI Generation Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .248 IPC Generation Registers Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .249 IPC Acknowledgement Registers Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .249 IPC Generation Registers Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250 IPC Acknowledgement Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .250 Timer Input Selection Field Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .251 Timer Output Selection Field Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .254 Reset Mux Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .255 Device Speed Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .256 ARM Endian Configuration Register 0 Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .257 ARM Endian Configuration Register 1 Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .257 ARM Endian Configuration Register 2 Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .258 Chip Miscellaneous Control Register (CHIP_MISC_CTL0) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .258 Chip Miscellaneous Control Register (CHIP_MISC_CTL1) Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .259 Copyright 2013 Texas Instruments Incorporated
List of Tables
SPRS835DAugust 2013 System Endian Status Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .259 SYNECLK_PINCTL Register Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .260 Absolute Maximum Ratings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .261 Recommended Operating Conditions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .262 Electrical Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .263 Power Supply to Peripheral I/O Mapping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .264 Power Supply Rails on the TCI6636K2H. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .265 Core Before IO Power Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .267 IO-Before-Core Power Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .270 Clock Sequencing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .272 SmartReflex 4-Pin 6-bit VID Interface Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .273 Power Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .275 Clock Domains . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .276 PSC Register Memory Map . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .277 Reset Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .282 Reset Timing Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .285 Reset Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .285 Boot Configuration Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .286 Main PLL Controller Module Clock Domains Internal and Shared Local Clock Dividers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .289 Main PLL Stabilization, Lock, and Reset Times . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .290 PLL Controller Registers (Including Reset Controller). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .291 PLL Secondary Control Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .292 PLL Controller Divider Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .293 PLL Controller Clock Align Control Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .293 PLLDIV Divider Ratio Change Status Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .294 SYSCLK Status Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .294 Reset Type Status Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .295 Reset Control Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .295 Reset Configuration Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .296 Reset Isolation Register Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .297 Main PLL Control Register 0 (MAINPLLCTL0) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .297 Main PLL Control Register 1 (MAINPLLCTL1) Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .298 ARM PLL Control Register 0 Field Descriptions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .299 ARM PLL Control Register 1Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .299 Main PLL Controller/ARM/SRIO/HyperLink/PCIe Clock Input Timing Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .299 DDR3A PLL and DDR3B PLL Control Register 0 Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .303 DDR3A PLL and DDR3B PLL Control Register 1 Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .303 DDR3 PLL DDRCLK(N|P) Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .304 PASS PLL Clock Domain Module Internal Clock Dividers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .305 PASS PLL Control Register 0 Field Descriptions (PASSPLLCTL0) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .305 PASS PLL Control Register 1 Field Descriptions (PASSPLLCTL1) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .306 PASS PLL Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .306 NMI and LRESET Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .307 I2C Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .310 I2C Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .311 I2C Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .312 SPI Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .313 SPI Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .313 HyperLink Peripheral Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .316 HyperLink Peripheral Switching Characteristics. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .316 UART Timing Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .318 UART Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .319 MACID1 Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .320 MACID2 Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .320 List of Tables 11
Table 7-6 Table 7-7 Table 8-1 Table 8-2 Table 8-3 Table 8-4 Table 9-1 Table 9-2 Table 9-3 Table 9-4 Table 9-5 Table 9-6 Table 9-7 Table 9-8 Table 9-9 Table 9-10 Table 9-11 Table 9-12 Table 9-13 Table 9-14 Table 9-15 Table 9-16 Table 9-17 Table 9-18 Table 9-19 Table 9-20 Table 9-21 Table 9-22 Table 9-23 Table 9-24 Table 9-25 Table 9-26 Table 9-27 Table 9-28 Table 9-29 Table 9-30 Table 9-31 Table 9-32 Table 9-33 Table 9-34 Table 9-35 Table 9-36 Table 9-37 Table 9-38 Table 9-39 Table 9-40 Table 9-41 Table 9-42 Table 9-43 Table 9-44 Table 9-45 Table 9-46 Table 9-47 Table 9-48
RFTCLK Select Register Field Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .321 MDIO Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .322 MDIO Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .322 Timer Input Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .323 Timer Output Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .323 GPIO Registers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .325 GPIO Input Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .326 GPIO Output Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .326 AIF2 Timer Module Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .327 AIF2 Timer Module Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .328 EMIF16 Asynchronous Memory Timing Requirements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .330 ICEPick Debug Secondary TAPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .335 Emulation Interface with Different Debug Port Configurations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .336 MSTID mapping for Hardware Instrumentation (CPTRACERS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .338 MSTID Mapping for Software Messages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .338 Cross-Triggering Connection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .339 TI XTRIG Assignment . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .339 Peripherals Emulation Support. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .340 EMUSUSP Peripheral Summary (for EMUSUSP handshake from DEBUGSS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .341 EMUSUSP Core Summary (for EMUSUSP handshake to DEBUGSS) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .341 Trace Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .342 JTAG Test Port Timing Requirements. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .343 JTAG Test Port Switching Characteristics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .343 Thermal Resistance Characteristics (PBGA Package) AAW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .346
12
List of Tables
SPRS835DAugust 2013
PRODUCT PREVIEW information applies to products in the formative or design phase of development. Characteristic data and other specifications are design goals. Texas Instruments reserves the right to change or discontinue these products without notice.
PRODUCT PREVIEW
PRODUCT PREVIEW
14
SPRS835DAugust 2013
TI's scalable multicore SoC architecture solutions provide developers with a range of software-compatible and hardware-compatible devices to minimize development time and maximize reuse across all basestation platforms from Femto to Macro. The TCI6636K2H device has a complete set of development tools that includes: a C compiler, an assembly optimizer to simplify programming and scheduling, and a Windows debugger interface for visibility into source code execution.
15
PRODUCT PREVIEW
Memory Subsystem
72-Bit DDR3 EMIF 72-Bit DDR3 EMIF
Debug & Trace
MSMC
32KBL1 L1 32KB 32KBL1 L1 32KB 32KB L1 32KB 32KB L1 32KB L1 L1 P-Cache D-Cache 32KB L1 32KB L1 P-Cache D-Cache 32KB L1 32KB L1 P-Cache D-Cache 32KB L1 32KB L1 P-Cache D-Cache P-Cache D-Cache D-Cache P-Cache P-Cache D-Cache 1024KB L2Cache Cache P-Cache D-Cache 1024KB L2 1024KB L2 Cache 1024KB L2 Cache 1024KB L2 Cache 1024KBL2 L2Cache Cache 1024KB 1024KB L2 Cache
C66x C66x C66x C66x C66x C66x C66x C66x CorePac CorePac CorePac CorePac CorePac CorePac CorePac CorePac 32KB L1 32KB L1
RSA RSA RSA RSA RSA RSA RSA RSA RSA RSA RSA RSA RSA RSA RSA RSA
TCI6636K2H
Coprocessors
RAC
TAC VCP2
GPIO 32
2 UART
PCIe 2
SRIO 4
AIF2 6
USB 3.0
EMIF16
Others
3 SPI
3 I2C
USIM
1GBE
1GBE
1GBE
1GBE
PRODUCT PREVIEW
16
4 2 4
TCP3d
4MB L2 Cache
FFTC
PLL
5
EDMA
BCP
2 HyperLink
TeraNet
Multicore Navigator
Queue Manager
5-Port Ethernet Switch
Packet DMA
Security Accelerator Packet Accelerator
Network Coprocessor
SPRS835DAugust 2013
TCI6636K2H 8 4 2 1
1 2 1 3 3 1 1 1 2 4 1 Twenty 64-bit or Forty 32-bit 32 4 2 4 1 2 1 16 1 1 256KB 256KB 8192KB 128KB 128KB 128KB 4096KB 256KB 6MB 0x0009_0000 (PG 1.0) 0x0009_0002 (PG 1.1)
L1 program memory controller (C66x) L1 data memory controller (C66x) Shared L2 Cache (C66x) L3 ROM (C66x) On-Chip Memory Organization L1 program memory controller (ARM Cortex-A15) L1 data memory controller (ARM Cortex-A15) Shared L2 Cache (ARM Cortex-A15) L3 ROM (ARM Cortex-A15) MSMC C66x CorePac Revision ID CorePac Revision ID Register (address location: 0181 2000h)
17
PRODUCT PREVIEW
Frequency
PRODUCT PREVIEW
18
SPRS835DAugust 2013
19
PRODUCT PREVIEW
Predictions show that experimental devices (X) have a greater failure rate than the standard production devices. Texas Instruments recommends that these devices not be used in any production system because their expected end-use failure rate still is undefined. Only qualified production devices are to be used. TI device nomenclature also includes a suffix with the device family name. This suffix indicates the package type (for example, AAW), the temperature range (for example, blank is the default case temperature range), and the device speed range, in Megahertz (for example, blank is 1000 MHz [1 GHz]). For device part numbers and further ordering information for TCI6636K2H in the AAW package type, see the TI website www.ti.com or contact your TI sales representative. 1.9.3 Device Nomenclature Figure 1-2 provides a legend for reading the complete device name for any C66x+ DSP generation member.
PRODUCT PREVIEW
Figure 1-2
PREFIX X = Experimental device Blank = Qualified device DEVICE FAMILY TCI = System on Chip DEVICE CORE 66 = C66 DSP Family DEVICE NUMBER 36 ARCHITECTURE K2 = KeyStone II PLATFORM H SILICON REVISION Blank = Initial 1.0 silicon A = Silicon Revision 1.1
DEVICE SPEED RANGE Blank = 1 GHz 2 = 1.2 GHz 24 = 1.2 GHz DSP / 1.4 GHz ARM TEMPERATURE RANGE Blank = 0C to +100C (default case temperature) A = Extended temperature range (-40C to +100C) PACKAGE TYPE AAW = 1517-pin plastic ball grid array, with Pb-free solder balls and die bumps SECURITY Blank = No Security Accelerator / No SOC security X = Security Accelerator enabled D = Security Accelerator and SOC security enabled with TI developmental keys S = Security Accelerator and SOC security enabled with production keys
20
SPRS835DAugust 2013
SPRUGV5 SPRUHJ4 SPRUGV7 SPRABH8 SPRUGZ1 SPRABH6 SPRUGY5 SPRUGW0 SPRUGH7 SPRUGY8 SPRUGW4 SPRABF3 SPRUGZ2 SPRUGV8 SPRABL4 SPRUGZ3 SPRU655 SPRUGS5 SPRUGS2 SPRABH3 SPRUGV1 SPRUGV9 SPRUGW8 SPRUGV3 SPRUGW4 SPRUGW5 SPRUGR9 SPRUHJ6 SPRAB27 SPRUGZ6 SPRABG8 SPRABG7 SPRUGS4 SPRUGS6 SPRUGV2 SPRUGV4 SPRUGY6 SPRABS4 SPRUGS3 SPRUGP2 SPRUGW1 SPRUGS0
Semaphore2 Hardware Module for KeyStone Devices User Guide Serial Peripheral Interface (SPI) for KeyStone Devices User Guide Serial RapidIO (SRIO) for KeyStone Devices User Guide Turbo Decoder Coprocessor 3 (TCP3d) for KeyStone Devices User Guide
21
PRODUCT PREVIEW
PRODUCT PREVIEW
22 Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback
SPRS835DAugust 2013
2 C66x CorePac
The C66x CorePac consists of several components: Level-one and level-two memories (L1P, L1D, L2) Data Trace Formatter (DTF) Embedded Trace Buffer (ETB) Interrupt controller Power-down controller External memory controller Extended memory controller A dedicated local power/sleep controller (LPSC) The C66x CorePac also provides support for big and little endianness, memory protection, and bandwidth management (for resources local to the CorePac). Figure 2-1 shows a block diagram of the C66x CorePac.
Figure 2-1 C66x CorePac Block Diagram
32KB L1P
C66x DSP Core Instruction Fetch 16-/32-bit Instruction Dispatch Control Registers Boot Controller In-Circuit Emulation Instruction Decode Data Path A PLLC LPSC A Register File A31-A16 A15-A0 .M1 xx xx Data Path B B Register File B31-B16 B15-B0 .M2 xx xx
RSA
.D1
.D2
.S2
.L2
32KB L1D
C66x CorePac
23
PRODUCT PREVIEW
For more detailed information on the C66x CorePac in the TCI6636K2H device, see the C66x CorePac User Guide in 1.10 Related Documentation from Texas Instruments on page 21.
PRODUCT PREVIEW
The on-chip bootloader changes the reset configuration for L1P and L1D. For more information, see the Bootloader for the C66x DSP User Guide in 1.10 Related Documentation from Texas Instruments on page 21. For more information on the operation L1 and L2 caches, see the C66x DSP Cache User Guide in 1.10 Related Documentation from Texas Instruments on page 21. 2.1.1 L1P Memory The L1P memory configuration for the TCI6636K2H device is as follows: Region 0 size is 0K bytes (disabled) Region 1 size is 32K bytes with no wait states Figure 2-2 shows the available SRAM/cache configurations for L1P.
Figure 2-2 L1P Memory Configurations
L1P Mode Bits 000 001 010 011 100 L1P Memory
16K bytes
All SRAM
DM Cache
24
C66x CorePac
SPRS835DAugust 2013
2.1.2 L1D Memory The L1D memory configuration for the TCI6636K2H device is as follows: Region 0 size is 0K bytes (disabled) Region 1 size is 32K bytes with no wait states Figure 2-3 shows the available SRAM/cache configurations for L1D.
Figure 2-3 L1D Memory Configurations
L1D Mode Bits 000 001 010 011 100 L1D Memory
16K bytes
All SRAM
C66x CorePac
25
PRODUCT PREVIEW
2.1.3 L2 Memory The L2 memory configuration for the TCI6636K2H device is as follows: Total memory size is 8192KB Each CorePac contains 1024KB of memory Local starting address for each CorePac is 0080 0000h L2 memory can be configured as all SRAM, all 4-way set-associative cache, or a mix of the two. The amount of L2 memory that is configured as cache is controlled through the L2MODE field of the L2 Configuration Register (L2CFG) of the C66x CorePac. Figure 2-4 shows the available SRAM/cache configurations for L2. By default, L2 is configured as all SRAM after device reset.
Figure 2-4 L2 Memory Configurations
PRODUCT PREVIEW
26
L2 Mode Bits 000 001 010 011 100 101 110 L2 Memory
1/2 SRAM
512K bytes
3/4 SRAM 31/32 SRAM 15/16 SRAM 7/8 SRAM 4-Way Cache 0088 0000h
ALL SRAM
256K bytes
4-Way Cache 128K bytes 4-Way Cache 4-Way Cache 4-Way Cache
008C 0000h
008E 0000h 64K bytes 32K bytes 32K bytes 008F 0000h 008F 8000h 008F FFFFh
4-Way Cache
C66x CorePac
SPRS835DAugust 2013
Global addresses that are accessible to all masters in the system are in all memory local to the processors. In addition, local memory can be accessed directly by the associated processor through aliased addresses, where the eight MSBs are masked to 0. The aliasing is handled within the CorePac and allows for common code to be run unmodified on multiple cores. For example, address location 0x10800000 is the global base address for CorePac0's L2 memory. CorePac0 can access this location by either using 0x10800000 or 0x00800000. Any other master on the device must use 0x10800000 only. Conversely, 0x00800000 can by used by any of the C66x CorePacs as their own L2 base addresses. For CorePac0, as mentioned, this is equivalent to 0x10800000, for CorePac1 this is equivalent to 0x11800000, and for CorePac2 this is equivalent to 0x12800000. Local addresses should be used only for shared code or data, allowing a single image to be included in memory. Any code/data targeted to a specific core, or a memory region allocated during run-time by a particular CorePac should always use the global address only. 2.1.4 Multicore Shared Memory SRAM
The MSM SRAM is always configured as all SRAM. When configured as a shared L2, its contents can be cached in L1P and L1D. When configured in shared L3 mode, its contents can be cached in L2 also. For more details on external memory address extension and memory protection features, see the Multicore Shared Memory Controller (MSMC) for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21. 2.1.5 L3 Memory The L3 ROM on the device is 128KB. The ROM contains software used to boot the device. There is no requirement to block accesses from this portion to the ROM.
C66x CorePac
27
PRODUCT PREVIEW
The MSM SRAM configuration for the TCI6636K2H device is as follows: Memory size of 6144KB Can be configured as shared L2 or shared L3 memory Allows extension of external addresses from 2GB up to 8GB Has built-in memory protection features
The AIDx and LOCAL bits of the memory protection page attribute registers specify the memory page protection scheme, see Table 2-1.
Table 2-1
AIDx 0 0 1 1
(1)
Bit
Faults are handled by software in an interrupt (or an exception, programmable within the CorePac interrupt controller) service routine. A DSP or DMA access to a page without the proper permissions will: Block the access reads return 0, writes are ignored Capture the initiator in a status register ID, address, and access type are stored Signal the event to the DSP interrupt controller The software is responsible for taking corrective action to respond to the event and resetting the error status in the memory controller. For more information on memory protection for L1D, L1P, and L2, see the C66x CorePac User Guide in 1.10 Related Documentation from Texas Instruments on page 21.
PRODUCT PREVIEW
28
C66x CorePac
SPRS835DAugust 2013
More information on the power-down features of the C66x CorePac can be found in the C66x CorePac Reference Guide in 1.10 Related Documentation from Texas Instruments on page 21
Figure 2-5
31
Table 2-2
Bit 31-16 15-0 Name
VERSION REVISION
C66x CorePac
29
PRODUCT PREVIEW
The version and revision of the C66x CorePac can be read from the CorePac Revision ID Register (MM_REVID) located at address 0181 2000h. The MM_REVID register is shown in Table 2-2 and described in Table 2-2. The C66x CorePac revision is dependent on the silicon revision being used.
3 ARM CorePac
The ARM CorePac is added in the TCI6636K2H to enable the ability for layer 2 and layer 3 processing on-chip. Operations such as traffic control, local O&M, NBAP/FP termination, and SCTP processing can all be performed with the Cortex-A15 processor core. The ARM CorePac of the TCI6636K2H integrates one or more Cortex-A15 processor clusters with additional logic for bus protocol conversion, emulation, interrupt handling, and debug related enhancements. The Cortex-A15 processor is an ARMv7A-compatible, multi-issue out-of-order superscalar execution engine with integrated L1 caches. The implementation also supports advanced SIMDv2 (NEON technology) and VFPv4 (vector floating point) architecture extensions, security, virtualization, LPAE (large physical address extension), and multiprocessing extensions. The ARM CorePac includes a 4MB L2 cache and support for AMBA4 AXI and AXI coherence extension (ACE) protocols. An interrupt controller is included in the ARM CorePac to handle host interrupt requests in the system. The ARM CorePac has three functional clock domains, including a high-frequency clock domain used by the Cortex-A15. The high-frequency domain is isolated from the rest of the device by asynchronous bridges. Figure 3-1 shows an overall view of the Quad ARM CorePac.
Figure 3-1 KeyStone II ARM CorePac Block Diagram
4 MB L2 Cache
Timer 0 - 3
PRODUCT PREVIEW
ARM Cluster
STM
ATB VBUSP OCP ATB APB
ARM A15
32KB L1 P-Cache 32KB L1 D-Cache
TeraNet (DMA)
ARM Trace
APB MUX
ARM A15
32KB L1 P-Cache 32KB L1 D-Cache
APB
ATB
Debug SubSystem
ARM A15
32KB L1 P-Cache 32KB L1 D-Cache
64 Bits
ARM A15
32KB L1 P-Cache 32KB L1 D-Cache
VBUSP
TeraNet (CFG)
AXI-VBUS Master
256b VBUSM
MSMC DDR3
30
ARM CorePac
SPRS835DAugust 2013
3.1 Features
The key features of the Quad Core ARM CorePac are as follows: One or more Cortex-A15 processors, each containing: Cortex-A15 processor revision R2P4. ARM architecture version 7 ISA. Multi-issue, out-of-order, superscalar pipeline. L1 and L2 instruction and data cache of 32 KB, 2-way, 16 word line with 128 bit interface. Integrated L2 cache of 4MB, 16-way, 16 word line, 128-bit interface to L1 along with ECC/parity. Includes the NEON media coprocessor (NEON), which implements the advanced SIMDv2 media processing architecture and the VFPv4 Vector Floating Point architecture. The external interface uses the AXI protocol configured to 128-bit data width. Includes the System Trace Macrocell (STM) support for non-invasive debugging. Implements the ARMv7 debug with watchpoint and breakpoint registers and 32-bit advanced peripheral bus (APB) slave interface to CoreSight debug systems. Interrupt controller Supports up to 480 interrupt requests Emulation/debug Compatible with CoreSight architecture Clock generation Through the dedicated ARM PLL
ARM CorePac
31
PRODUCT PREVIEW
PRODUCT PREVIEW
Cortex-A15 processor version Integer core NEON core Architecture Extensions L1 Lcache and Dcache L2 cache
R2P4 Main core for processing integer instructions Gives greatly enhanced throughput for media workloads and VFP-Lite support Security, virtualization and LPAE (40bit virtual address) extensions 32KB, 2-way, 16 word line, 128 bit interface 4096KB, 16-way, 16 word line, 128 bit interface to L1, ECC/Parity is supported shared between cores L2 valid bits cleared by software loop or by hardware
Cache Coherency Branch target address cache Enhanced memory management unit Buses Non-invasive Debug Support Misc Debug Support Clocking Voltage Power End of Table 3-1
Support for coherent memory accesses between A15 cores and other non-core master peripherals (Ex: EDMA) in the DDR3A and MSMC SRAM space. Dynamic branch prediction with Branch Target Buffer (BTB) and Global History Buffer (GHB), a return stack, and an indirect predictor Mapping sizes are 4KB, 64KB, 1MB, and 16MB 128b AXI4 internal bus from Cortex-A15 converted to a 256b VBUSM to interface (through the MSMC) with MSMC SRAM, DDR EMIF, ROM, Interrupt controller and other system peripherals Processor instruction trace using 4x Program Trace Macrocell (Coresight PTM), Data trace (print-f style debug) using System Trace Macrocell (Coresight STM) and Performance Monitoring Units (PMU) JTAG based debug and Cross triggering Dedicated ARM PLL for flexible clocking scenarios SmartReflex voltage domain for automatic voltage scaling Support for standby modes and separate core power domains for additional leakage power reduction
32
ARM CorePac
SPRS835DAugust 2013
3.3.3 ARM Interrupt Controller The ARM CorePac interrupt controller (AINTC) is responsible for prioritizing all service requests from the system peripherals and the Secondary interrupt controller CIC2 and then generating either nIRQ or nFIQ to the Cortex-A15 processor. The type of the interrupt (nIRQ or nFIQ) and the priority of the interrupt inputs are programmable. The AINTC interfaces to the Cortex-A15 processor via the AXI port through an VBUS2AXI bridge and runs at half the processor speed. It has the capability to handle up to 480 requests, which can be steered/prioritized as A15 nFIQ or nIRQ interrupt requests. The general features of the AINTC are: Up to 480 level sensitive shared peripheral interrupts (SPI) inputs Individual priority for each interrupt input Each interrupt can be steered to nFIQ or nIRQ Independent priority sorting for nFIQ and nIRQ Secure mask flag On the chip level, there is a dedicated chip level interrupt controller to serve the ARM interrupt controller. See the Interrupt section for more details. The figure below shows an overall view of the ARM CorePac Interrupt Controller.
Figure 3-2 ARM Interrupt Controller for Four Cortex-A15 Processor Cores
FIQ, IRQ, Virtual FIQ, Virtual IRQ
ARM INTC
Peripherals 480 SPI Interrupts Generic Interrupt Controller 400
CIC2
16 PPIs
64 Bits
Cortex A15
VBUSP Interface
VBUSP2AXI Bridge
3.3.4 Endianess The ARM CorePac can operate in either little endian or big endian mode. When the ARM CorePac is in little endian mode and the rest of the system is in big endian mode, the bridges in the ARM CorePac are responsible for performing the endian conversion.
ARM CorePac
33
PRODUCT PREVIEW
PRODUCT PREVIEW
3.6.2 Reset The ARM CorePac does not support local reset. It is reset whenever the device is under reset. In addition, the interrupt controller (AINTC) can only be reset during POR and RESETFULL. For the complete programming model, refer to the KeyStone II ARM CorePac User Guide.
34
ARM CorePac
SPRS835DAugust 2013
4 Terminals
4.1 Package Terminals
Figure 4-1 shows the AAW ball grid array package (bottom view).
Figure 4-1 AAW 1517-PIN BGA Package (Bottom View)
A B C D
Terminals
35
PRODUCT PREVIEW
3
VSS HYP1RXN3 VSS HYP1TXN3 VSS TSRXCLKOUT1N TSRXCLKOUT1P VSS SYSCLKP SYSCLKN HYP0TXFLCLK HYP1TXFLCLK HYP0RXPMDAT HYP1RXPMCLK VSS RESETFULL TSSYNCEVT EMU14 EMU11 EMU02 EMU04 VSS EMU08 EMU09 EMU15 SDA0 SDA2 TIMO0 USBDRVVBUS UART1TXD VSS DDR3AD13 DDR3AD10 DDR3ADQM1 VSS DDR3AD14 DDR3ADQS1N DDR3ADQS1P VSS
4
HYP1CLKP HYP1RXP3 HYP1RXN2 HYP1TXP3 HYP1TXN2 VSS RSV002 RSV003 CORECLKSEL POR HYP0RXFLDAT HYP1RXFLCLK VSS HYP1RXPMDAT LRESET LRESETNMIEN EXTFRAMEEVENT EMU10 EMU18 EMU03 EMU05 EMU06 EMU07 EMU13 EMU17 SCL2 SCL1 TIMO1 UART0RTS UART0RXD VSS VSS DDR3AD16 DDR3AD09 DDR3AD08 DDR3AD15 VSS DDR3AD12 DDR3AD11
5
HYP1CLKN VSS HYP1RXP2 VSS HYP1TXP2 HYP1TXN1 VSS CVDD VSS RSV012 HYP0RXFLCLK HYP0TXPMCLK HYP0TXFLDAT BOOTCOMPLETE HOUT NMI RESETSTAT DVDD18 VSS DVDD18 VSS DVDD18 EMU12 EMU16 VSS VSS VSS UART1RTS VSS VSS VSS DDR3AD17 DDR3AD20 DDR3AD19 VSS DDR3AD18 DDR3AD22 DDR3ADQS2N DDR3ADQS2P
6
VSS HYP1RXN1 VSS HYP1TXN0 VSS HYP1TXP1 VSS HYP1REFRES CVDD VSS VSS VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS CVDD VSS CVDD VSS DVDD15 VSS DDR3AD29 DDR3AD26 DDR3ADQM3 DDR3AD21 VSS DDR3AD23 DDR3ADQM2
7
HYP0RXN3 HYP1RXP1 HYP1RXN0 HYP1TXP0 HYP0TXN3 VSS VSS RSV020 VSS CVDD VSS VSS VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS DVDD18 VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 DDR3AD30 DDR3AD25 VSS DDR3AD31 DDR3AD24 DDR3ADQS3P DDR3ADQS3N
8
HYP0RXP3 VSS HYP1RXP0 VSS HYP0TXP3 HYP0TXN2 VSS VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 VSS DDR3AA02 DDR3AA05 DDR3AA00 DDR3AA09 VSS DDR3AD28 DDR3AD27
9
VSS HYP0RXN2 VSS HYP0CLKP VSS HYP0TXP2 VSS HYP0REFRES VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 DDR3AA01 DDR3AA04 VSS DDR3AA07 DDR3AA06 DDR3AA08 DDR3AA11
10
HYP0RXN0 HYP0RXP2 HYP0RXN1 HYP0CLKN HYP0TXN1 VSS RSV019 VSS VDDAHV VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 DDR3ARQ1 DDR3AA03 DDR3AA10 DDR3AA12 DDR3AA15 VSS DDR3AA14 DDR3AA13
PRODUCT PREVIEW
10
36
Terminals
SPRS835DAugust 2013 TCI6636K2K Pin Map Left Center Panel (B) Bottom View
11 12
VSS AIFRXN5 VSS AIFTXN5 VSS HYP0TXP0 VSS VSS VDDAHV VSS VDDALV VSS VDDALV VSS CVDD VSS CVDD VSS VP VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 VSS DDR3ACKE0 DDR3AWE DDR3AODT0 RSV027 VSS
Figure 4-4
AW AV AU AT AR AP AN AM AL AK AJ AH AG AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A
HYP0RXP0 VSS HYP0RXP1 VSS HYP0TXP1 HYP0TXN0 VSS AIFREFRES1 VSS VDDAHV VSS VDDALV VSS AVDDA1 VSS VNWA2 VSS CVDD VSS VPTX VSS VNWA4 VSS CVDD VSS AVDDA6 VSS DVDD15 VSS DVDD15 VSS DVDD15 DDR3ABA2 DDR3ACE1 VSS DDR3ACE0 DDR3ABA1 DDR3ABA0 DDR3ACKE1
13
AIFRXN3 AIFRXP5 AIFRXN4 AIFTXP5 AIFTXN4 VSS VSS VSS VSS VDDAHV VSS VDDALV VSS VDDALV VSS CVDD VSS VDDUSB VSS VPH VSS CVDD1 VSS CVDD1 VSS CVDD VSS AVDDA7 VSS DVDD15 VSS DVDD15 DDR3AODT1 RSV029 VSS RSV028 DDR3ACAS
14
AIFRXP3 VSS AIFRXP4 VSS AIFTXP4 AIFTXN3 VSS RSV025 VDDAHV VSS VDDALV VSS VDDALV VSS CVDD VSS CVDD VSS DVDD33 VSS CVDD VSS CVDD1 VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 VSS DDR3AVREFSSTL DDR3ACB05 DDR3ADQM8 DDR3ACB06 VSS DDR3ARESET DDR3ARAS
15
VSS AIFRXN2 VSS AIFTXN2 VSS AIFTXP3 VSS AIFREFRES0 VSS VDDAHV VSS VDDALV VSS VDDALV VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS AVDDA8 VSS DVDD15 VSS DVDD15 DDR3ACB07 DDR3ACB03 VSS DDR3ACB04 DDR3ACB01 DDR3ADQS8P DDR3ADQS8N
16
AIFRXN0 AIFRXP2 AIFRXN1 AIFTXP2 AIFTXN1 VSS RSV068 RSV024 VDDAHV VSS VDDALV VSS VDDALV VSS CVDD VSS CVDD VSS CVDD VSS CVDD1 VSS CVDD1 VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 DDR3ARQ0 DDR3AD33 DDR3AD34 DDR3AD32 DDR3AD36 VSS DDR3ACB02 DDR3ACB00
17
AIFRXP0 VSS AIFRXP1 VSS AIFTXP1 AIFTXN0 VSS VSS VSS VDDAHV VSS VDDALV VSS VDDALV VSS CVDD1 VSS CVDD VSS CVDD VSS CVDD1 VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 VSS DVDD15 DDR3AD35 DDR3AD38 VSS DDR3AD37 DDR3ADQM4 DDR3ADQS4N DDR3ADQS4P
18
VSS RSV061 VSS RSV063 VSS AIFTXP0 VSS VSS VDDAHV VSS VDDALV VSS VDDALV VSS CVDD VSS CVDD1 VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD AVDDA9 DVDD15 VSS DVDD15 VSS DDR3AD42 DDR3AD47 DDR3AD39 DDR3AD46 VSS DDR3AD45 DDR3ADQM5
19
RSV065 RSV060 RSV070 RSV062 RSV067 VSS RSV026 RSV069 VSS VDDAHV VSS VDDALV VSS VDDALV VSS CVDD1 VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS DVDD15 VSS DVDD15 VSS DVDD15 DDR3AD44 DDR3AD43 VSS DDR3AD41 DDR3AD40 DDR3ADQS5P DDR3ADQS5N
20
RSV064 VSS RSV071 VSS RSV066 VSS VSS VSS VDDAHV
VDDALV VSS VDDALV VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD1 VSS CVDD VSS AVDDA2 AVDDA10 DVDD15 VSS DVDD15 VSS DDR3AD51 DDR3AD54 DDR3AD53 DDR3AD50 VSS DDR3AD49 DDR3AD48
11
12
13
14
15
16
17
18
19
20
Terminals
37
PRODUCT PREVIEW
VSS
23
RIORXP2 VSS RIORXP1 VSS RIOTXN1 RIOTXP2 VSS RSV021 VSS VDDAHV VSS VDDALV VSS VDDALV VSS CVDD VSS CVDD VSS CVDD VSS CVDDT VSS CVDDT VSS CVDDT VSS VNWA3 VSS DVDD15 VSS DVDD15 VSS RSV013 RSV014 DDR3AD58 DDR3AD56 DDR3ADQS7N DDR3ADQS7P
24
VSS RIORXN0 VSS RIOTXN0 RIOTXP1 VSS VSS SGMIIREFRES VDDAHV VSS VDDALV VSS VNWA1 VSS CVDD VSS CVDD VSS CVDD VSS CVDDT VSS CVDDT VSS CVDDT VSS CVDDT VSS DVDD18 VSS VSS VSS CORESEL3 CORESEL0 CORESEL1 CORESEL2 VSS RSV004 RSV005
25
SGMII3RXN RIORXP0 SGMII2RXN RIOTXP0 VSS SGMII3TXN VSS RSV023 VSS VDDAHV VSS VDDALV VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDDT VSS CVDDT VSS CVDDT VSS CVDD VSS DVDD18 VSS DVDD18 VSS SPI0SCS0 SPI2SCS3 SPI2CLK SPI0SCS1 DDR3ACLKP DDR3ACLKN
26
SGMII3RXP VSS SGMII2RXP VSS SGMII2TXN SGMII3TXP VSS PCIEREFRES DVDD18 VSS DVDD18 VSS AVDDA5 VSS CVDD VSS CVDD VSS CVDD VSS CVDDT VSS CVDDT VSS CVDDT VSS CVDDT VSS DVDD18 VSS DVDD18 VSS RSV018 RSV017 SPI0SCS2 SPI0SCS3 VSS SPI0CLK SPI0DIN
27
VSS SGMII1RXN VSS SGMII1TXN SGMII2TXP VSS VSS DVDD18 VSS DVDD18 VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDD VSS CVDDT VSS DVDD18 VSS DVDD18 VSS SPI1DIN SPI1SCS3 SPI1SCS2 SPI1SCS1 SPI1SCS0 SPI0DOUT
28
SGMII0RXN SGMII1RXP SGMII0TXN SGMII1TXP VSS VSS VSS RSV022 DVDD18 VSS CVDD VSS CVDD VSS AVDDA15 AVDDA14 AVDDA13 AVDDA12 DVDD15 AVDDA11 DVDD15 VSS DVDD15 VSS DVDD15 VSS AVDDA3 VSS CVDDT VSS DVDD18 VSS SPI2DOUT SPI2DIN VSS SPI2SCS1 SPI1CLK SPI2SCS0 SPI1DOUT
29
SGMII0RXP VSS SGMII0TXP VSS PCIETXN1 VSS VSS DVDD18 VSS CVDD VSS AVDDA4 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD18 VSS CVDDT VSS VSS VSS GPIO00 GPIO05 GPIO02 VSS GPIO04 SPI2SCS2
30
VSS PCIERXN1 VSS PCIETXN0 PCIETXP1 VSS PACLKSEL RADSYNC CVDD VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD18 VSS DVDD18 VSS CVDDT VSS GPIO09 GPIO12 GPIO11 GPIO06 GPIO07 GPIO01 GPIO08
AW AV AU AT AR AP AN AM AL AK AJ AH AG AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A
PRODUCT PREVIEW
38
21
22
23
24
25
26
27
28
29
30
Terminals
SPRS835DAugust 2013 TCI6636K2K Pin Map Right Side Panel (D) Bottom View
32
PCIECLKN VSS PCIERXP0 VSS MDIO USIMRST USIMCLK VSS DVDD15 DDR3BRQ2 VSS DDR3BD40 DDR3BD39 DDR3BCB00 DDR3BCB02 DDR3BRAS DDR3BRESET RSV032 DDR3BA00 DDR3BA09 DDR3BA02 DDR3BD30 DDR3BDQM3 DDR3BD08 DDR3BD09 DDR3BRQ1 DDR3BD13 EMIFD00 DVDD15 VSS DVDD18 VSS EMIFCE1 RSV016 GPIO14 GPIO27 VSS GPIO19 GPIO17
Figure 4-6
31
PCIECLKP PCIERXP1 PCIERXN0 PCIETXP0 VSS MDCLK VSS CVDD VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 DDR3BVREFSSTL DVDD15 DDR3BRQ0 DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD15 VSS DVDD18 VSS CVDDT VSS GPIO10 GPIO25 GPIO22 GPIO18 GPIO15 GPIO13
33
VSS PASSCLKP VSS RSV072 VSS USIMIO DDR3BD58 VSS DDR3BD55 VSS DDR3BD41 VSS DDR3BDQM4 VSS DDR3BCB04 VSS DDR3BODT0 VSS DDR3BA08 VSS DDR3BA01 VSS DDR3BD29 VSS DDR3BD14 VSS DDR3BD04 VSS EMIFD02 EMIFA07 VSS EMIFBE1 EMIFCE0 EMIFRNW RSV015 GPIO21 GPIO28 GPIO24 GPIO20
34
SRIOSGMIICLKP PASSCLKN RSV008 RSV009 RSV073 PHYSYNC DDR3BD56 DDR3BD59 DDR3BD52 DDR3BDQM6 DDR3BD43 DDR3BD37 DDR3BD35 DDR3BCB01 DDR3BCB07 DDR3BODT1 RSV031 DDR3BCE0 DDR3BBA1 DDR3BA03 DDR3BA04 DDR3BA05 DDR3BD26 DDR3BD16 DDR3BD17 DDR3BDQM1 DDR3BD01 EMIFD13 EMIFD03 EMIFA16 EMIFA05 EMIFBE0 EMIFCE2 EMIFA00 EMIFA04 VSS GPIO29 GPIO31 GPIO26
35
SRIOSGMIICLKN VSS VCNTL4T VDT VCNTL5 VD VSS DDR3BD60 VSS DDR3BD51 VSS DDR3BD38 VSS DDR3BCB03 VSS RSV030 VSS DDR3BBA2 VSS DDR3BA12 VSS DDR3BD24 VSS DDR3BD18 VSS DDR3BD10 VSS EMIFD15 EMIFD12 VSS EMIFA18 EMIFA06 VSS EMIFA17 EMIFA13 EMIFA11 EMIFA08 GPIO23 GPIO03
36
VCNTL5T VCNTL3T VCNTL0T VSS VCNTL2 VCL DDR3BD57 DDR3BD62 DDR3BD53 DDR3BD50 DDR3BD44 DDR3BD42 DDR3BD36 DDR3BD33 DDR3BCB05 DDR3BCB06 DDR3BCAS VSS DDR3BCE1 DDR3BA15 DDR3BA06 DDR3BD31 DDR3BD25 DDR3BD22 DDR3BD20 DDR3BD15 DDR3BD06 EMIFD14 EMIFD11 EMIFD10 EMIFA21 EMIFA12 EMIFA02 EMIFWE EMIFCE3 EMIFA23 EMIFA20 GPIO30 GPIO16
37
VSS VCNTL1T VCNTL2T VCLT VCNTL1 VSS DDR3BD63 VSS DDR3BD54 VSS DDR3BD47 VSS DDR3BD32 VSS DDR3BDQM8 VSS DDR3BWE VSS DDR3BBA0 VSS DDR3BA07 VSS DDR3BD21 VSS DDR3BD19 VSS DDR3BD05 DDR3BD02 VSS EMIFD06 EMIFD01 VSS EMIFA09 EMIFA01 EMIFOE VSS ARMCLKP ARMCLKN VSS
38
VSS VSS VCNTL4 VCNTL3 DDR3BCLKP RSV006 DDR3BDQS7P DDR3BD61 DDR3BDQS6N DDR3BD49 DDR3BDQS5N DDR3BD46 DDR3BD34 DDR3BDQS4P DDR3BDQS8N DDR3BCLKOUTP0 DDR3BCLKOUTN1 DDR3BCKE1 DDR3BA14 DDR3BA10 DDR3BD27 DDR3BDQS3N DDR3BD23 DDR3BDQS2N DDR3BD12 DDR3BDQS1N DDR3BD07 DDR3BDQS0P DDR3BD00 EMIFD09 EMIFD05 EMIFA22 EMIFA14 EMIFA10 EMIFWAIT0 RSV011 RSV010 VSS VSS
39
(nopin) VSS VSS VCNTL0 DDR3BCLKN RSV007 DDR3BDQS7N DDR3BDQM7 DDR3BDQS6P DDR3BD48 DDR3BDQS5P DDR3BD45 DDR3BDQM5 DDR3BDQS4N DDR3BDQS8P DDR3BCLKOUTN0 DDR3BCLKOUTP1 DDR3BCKE0 DDR3BA11 DDR3BA13 DDR3BD28 DDR3BDQS3P DDR3BDQM2 DDR3BDQS2P DDR3BD11 DDR3BDQS1P DDR3BDQM0 DDR3BDQS0N DDR3BD03 EMIFD08 EMIFD07 EMIFD04 EMIFA19 EMIFA15 EMIFA03 EMIFWAIT1 VSS VSS (nopin)
AW AV AU AT AR AP AN AM AL
AJ AH AG AF AE AD AC AB AA Y W V U T R P N M L K J H G F E D C B A
31
32
33
34
35
36
37
38
39
Terminals
39
PRODUCT PREVIEW
AK
PRODUCT PREVIEW
IPD or IPU
IPD/IPU
Table 4-2
Signal Name
AIFRXN0 AIFRXP0 AIFRXN1 AIFRXP1 AIFRXN2 AIFRXP2 AIFRXN3 AIFRXP3 AIFRXN4 AIFRXP4 AIFRXN5 AIFRXP5
AW16 AW17 AU16 AU17 AV15 AV16 AW13 AW14 AU13 AU14 AV12 AV13
40
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 2 of 19)
Ball No. AP17 AP18 AR16 AR17 AT15 AT16 AP14 AP15 AR13 AR14 AT12 AT13 AM15 AM11 Type O O O O O O O O O Antenna Interface transmit data (6 links) IPD/IPU Description
Table 4-2
Signal Name AIFTXN0 AIFTXP0 AIFTXN1 AIFTXP1 AIFTXN2 AIFTXP2 AIFTXN3 AIFTXP3 AIFTXN4 AIFTXP4 AIFTXN5 AIFTXP5 AIFREFRES0 AIFREFRES1
O O A A Antenna SERDES0 reference resistor input (3 k +/- 1%) Antenna SERDES1 reference resistor input (3 k +/- 1%) Antenna Timer Down Down Down Frame sync clock output Alternate frame sync clock input (vs. FSYNCCLK(N|P) Alternate frame sync input (vs. FRAMBURST (N|P) Frame sync interface clock used to drive the frame synchronization interface (OBSAI RP1 clock)
OZ I I I I I I
Frame burst to drive frame indicators to the frame synchronization module (OBSAI RP1) Boot Configuration Pins
ARM_LENDIAN ARMAVSSHARED AVSIFSEL0 AVSIFSEL1 BOOTMODE00 BOOTMODE01 BOOTMODE02 BOOTMODE03 BOOTMODE04 BOOTMODE05 BOOTMODE06 BOOTMODE07 BOOTMODE08 BOOTMODE09 BOOTMODE10 BOOTMODE11 BOOTMODE12 BOOTMODE13 BOOTMODE14 BOOTMODE15
B31 G24 M2 M1 B30 D29 A35 B29 E29 D30 C30 A30 G30 F31 E30 F30 A31 F24 E24 D24
I I I I I I I I I I I I I I I I I I I I
Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down
ARM little endian configuration pin. Pin shared with GPIO15. Boot strapped pin to share ARM AVS with SoC. Pin shared with CORESEL3. Default value (Boot Strapped) for SR PINMUX register (SR_PINCTL). Pins shared with TIMI0 and TIMI1.
User defined Boot Mode pins See 7.1.2 Boot Modes Supported on page 211 for more details. ( Pins are secondary functions and are shared with GPIO[01:13])
User defined Boot Mode pins See 7.1.2 Boot Modes Supported on page 211 for more details. ( Pins are secondary functions and are shared with CORESEL[0:2])
Terminals
41
PRODUCT PREVIEW
AL2 AM2 B37 C37 AL4 F24 E24 D24 G24 A25 B25 AR39 AR38 AE5 AT10 AT9 AW5 AW4 AE4 AD4 AD5 AN30 AV34 AV33 AW32 AW31 AK4 AD3 AD2 AC5 AW35 AW34 AK3 AL3 AK1 AL1 AM1
Reference clock to drive ARM CorePac PLL Core clock select to select between SYSCLK(N|P) and ALTCORECCLK to the main PLL
PRODUCT PREVIEW
CORECLKSEL CORESEL0 CORESEL1 CORESEL2 CORESEL3 DDR3ACLKN DDR3ACLKP DDR3BCLKN DDR3BCLKP HOUT HYP0CLKN HYP0CLKP HYP1CLKN HYP1CLKP LRESET LRESETNMIEN NMI PACLKSEL PASSCLKN PASSCLKP PCIECLKN PCIECLKP POR RESETFULL RESET RESETSTAT SRIOSGMIICLKN SRIOSGMIICLKP SYSCLKN SYSCLKP SYSCLKOUT TSREFCLKN TSREFCLKP
DDR3B reference clock input to DDR PLL Interrupt output pulse created by IPCGRH HyperLink reference clock to drive HyperLink0 SerDes
HyperLink reference clock to drive HyperLink1 SerDes Warm reset Enable for core selects Non-maskable interrupt PA clock select to choose between core clock and PASSCLK pins Packet Accelerator subsystem reference clock
PCIe clock input to drive PCIe SerDes Power-on reset Full reset Warm reset of non isolated portion of the device Reset status output RapidIO/SGMII reference clock to drive the RapidIO and SGMII SerDes
System clock input to antenna interface and Main PLL (Main PLL optional vs. ALTCORECLK) System clock output to be used as a general purpose output clock for debug purposes External precision clock source for SyncE
42
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 4 of 19)
Ball No. AP1 AN1 AP3 AN3 Type O O O O IPD/IPU Description SerDes recovered clock output for SyncE.
Table 4-2
Signal Name TSRXCLKOUT0N TSRXCLKOUT0P TSRXCLKOUT1N TSRXCLKOUT1P
DDR3ADQM0 DDR3ADQM1 DDR3ADQM2 DDR3ADQM3 DDR3ADQM4 DDR3ADQM5 DDR3ADQM6 DDR3ADQM7 DDR3ADQM8 DDR3ADQS0P DDR3ADQS0N DDR3ADQS1P DDR3ADQS1N DDR3ADQS2P DDR3ADQS2N DDR3ADQS3P DDR3ADQS3N DDR3ADQS4P DDR3ADQS4N DDR3ADQS5P DDR3ADQS5N DDR3ADQS6P DDR3ADQS6N DDR3ADQS7P DDR3ADQS7N DDR3ADQS8P DDR3ADQS8N DDR3ACB00 DDR3ACB01 DDR3ACB02 DDR3ACB03 DDR3ACB04 DDR3ACB05 DDR3ACB06 DDR3ACB07
C2 F3 A6 E6 C17 A18 D21 A22 E14 E1 D1 B3 C3 A5 B5 B7 A7 A17 B17 B19 A19 A21 B21 A23 B23 B15 A15 A16 C15 B16 F15 D15 F14 D14 G15
OZ OZ OZ OZ
OZ OZ OZ OZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ DDR3A EMIF check bits DDR3A EMIF data strobe
Terminals
43
PRODUCT PREVIEW
OZ
PRODUCT PREVIEW
44
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 6 of 19)
Ball No. E16 G16 F16 G17 D16 D17 F17 E18 C19 D19 G18 F19 G19 B18 D18 F18 A20 B20 D20 G20 C21 E20 F20 G21 C23 G22 D23 F22 E22 B22 F21 D22 D11 F11 B11 C11 G11 Type IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ OZ OZ OZ OZ OZ DDR3A EMIF bank address DDR3A EMIF chip enable DDR3A EMIF chip enable DDR3A EMIF data bus DDR3A EMIF data bus IPD/IPU Description
Table 4-2
Signal Name DDR3AD32 DDR3AD33 DDR3AD34 DDR3AD35 DDR3AD36 DDR3AD37 DDR3AD38 DDR3AD39 DDR3AD40 DDR3AD41 DDR3AD42 DDR3AD43 DDR3AD44 DDR3AD45 DDR3AD46 DDR3AD47 DDR3AD48 DDR3AD49 DDR3AD50 DDR3AD51 DDR3AD52 DDR3AD53 DDR3AD54 DDR3AD55 DDR3AD56 DDR3AD57 DDR3AD58 DDR3AD59 DDR3AD60 DDR3AD61 DDR3AD62 DDR3AD63 DDR3ACE0 DDR3ACE1 DDR3ABA0 DDR3ABA1 DDR3ABA2
Terminals
45
PRODUCT PREVIEW
PRODUCT PREVIEW
DDR3A EMIF output clocks to drive SDRAMs (one clock pair per SDRAM) for Rank1 DDR3A EMIF on die termination outputs used to set termination on the SDRAMs for Rank0 DDR3A EMIF on die termination outputs used to set termination on the SDRAMs for Rank1 DDR3A reset signal PTV compensation pin for DDR3A PTV compensation pin for DDR3A PTV compensation pin for DDR3A DDR3B
46
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 8 of 19)
Ball No. M38 M39 P39 P38 T39 T38 V39 V38 AF38 AF39 AJ39 AJ38 AL39 AL38 AN38 AN39 AE39 AE38 AF32 AF34 AE32 AF35 AE33 AE36 AD36 AE34 L38 N34 M37 L39 N33 N37 N36 N38 T32 R32 P35 R39 R38 N32 R33 P36 Type IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ DDR3B EMIF data bus DDR3B EMIF check bits DDR3B EMIF data strobe IPD/IPU Description
Table 4-2
Signal Name DDR3BDQS0P DDR3BDQS0N DDR3BDQS1P DDR3BDQS1N DDR3BDQS2P DDR3BDQS2N DDR3BDQS3P DDR3BDQS3N DDR3BDQS4P DDR3BDQS4N DDR3BDQS5P DDR3BDQS5N DDR3BDQS6P DDR3BDQS6N DDR3BDQS7P DDR3BDQS7N DDR3BDQS8P DDR3BDQS8N DDR3BCB00 DDR3BCB01 DDR3BCB02 DDR3BCB03 DDR3BCB04 DDR3BCB05 DDR3BCB06 DDR3BCB07 DDR3BD00 DDR3BD01 DDR3BD02 DDR3BD03 DDR3BD04 DDR3BD05 DDR3BD06 DDR3BD07 DDR3BD08 DDR3BD09 DDR3BD10 DDR3BD11 DDR3BD12 DDR3BD13 DDR3BD14 DDR3BD15
Terminals
47
PRODUCT PREVIEW
PRODUCT PREVIEW
48
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 10 of 19)
Ball No. AH38 AJ37 AK39 AK38 AK36 AK35 AL34 AL36 AL37 AL33 AN34 AN36 AN33 AM34 AM35 AM38 AM36 AN37 AB34 AA36 AA37 AA34 AB35 AA32 W33 W32 Y34 W34 V34 W36 W37 AA33 Y32 Y38 AA39 Y35 Y39 AA38 Y36 AC36 AD32 AC37 AB39 AB38 Type IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ OZ DDR3B EMIF column address strobe DDR3B EMIF row address strobe DDR3B EMIF write enable DDR3B EMIF clock enable0 DDR3B EMIF clock enable1 DDR3B EMIF address bus DDR3B EMIF bank address DDR3B EMIF chip enable DDR3B EMIF chip enable DDR3B EMIF data bus IPD/IPU Description
Table 4-2
Signal Name DDR3BD46 DDR3BD47 DDR3BD48 DDR3BD49 DDR3BD50 DDR3BD51 DDR3BD52 DDR3BD53 DDR3BD54 DDR3BD55 DDR3BD56 DDR3BD57 DDR3BD58 DDR3BD59 DDR3BD60 DDR3BD61 DDR3BD62 DDR3BD63 DDR3BCE0 DDR3BCE1 DDR3BBA0 DDR3BBA1 DDR3BBA2 DDR3BA00 DDR3BA01 DDR3BA02 DDR3BA03 DDR3BA04 DDR3BA05 DDR3BA06 DDR3BA07 DDR3BA08 DDR3BA09 DDR3BA10 DDR3BA11 DDR3BA12 DDR3BA13 DDR3BA14 DDR3BA15 DDR3BCAS DDR3BRAS DDR3BWE DDR3BCKE0 DDR3BCKE1
Terminals
49
PRODUCT PREVIEW
DDR3B EMIF output clocks to drive SDRAM (one clock pair for Rank1) DDR3B EMIF on-die termination outputs used to set termination on the SDRAMs DDR3B EMIF on-die termination outputs used to set termination on the SDRAMs DDR3B reset signal PTV compensation pin for DDR3B PTV compensation pin for DDR3B PTV compensation pin for DDR3B EMIF16
PRODUCT PREVIEW
EMIFBE0 EMIFBE1 EMIFCE0 EMIFCE1 EMIFCE2 EMIFCE3 EMIFOE EMIFRW EMIFWAIT0 EMIFWAIT1 EMIFWE EMIFA00 EMIFA01 EMIFA02 EMIFA03 EMIFA04 EMIFA05 EMIFA06 EMIFA07 EMIFA08 EMIFA09 EMIFA10 EMIFA11 EMIFA12 EMIFA13 EMIFA14 EMIFA15
H34 H33 G33 G32 G34 E36 E37 F33 E38 D39 F36 F34 F37 G36 E39 E34 J34 H35 K33 C35 G37 F38 D35 H36 E35 G38 F39
O O O O O O O O I I O O O O O O O O O O O O O O O O O
Up Up Up Up Up Up Up Up Down Down Up Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down EMIF address EMIF control signals
50
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 12 of 19)
Ball No. K34 F35 J35 G39 C36 J36 H38 D36 M32 J37 L33 L34 H39 J38 K37 J39 K39 K38 K36 L36 L35 M34 M36 M35 Type O O O O O O O O IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IPD/IPU Down Down Down Down Down Down Down Down Down EMIF address Description
Table 4-2
Signal Name EMIFA16 EMIFA17 EMIFA18 EMIFA19 EMIFA20 EMIFA21 EMIFA22 EMIFA23 EMIFD00 EMIFD01 EMIFD02 EMIFD03 EMIFD04 EMIFD05 EMIFD06 EMIFD07 EMIFD08 EMIFD09 EMIFD10 EMIFD11 EMIFD12 EMIFD13 EMIFD14 EMIFD15
Down Down Down Down Down Down Down Down Down Down Down Down Down Down EMU EMIF data
EMU00 EMU01 EMU02 EMU03 EMU04 EMU05 EMU06 EMU07 EMU08 EMU09 EMU10 EMU11 EMU12 EMU13 EMU14 EMU15 EMU16 EMU17 EMU18
IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ
Terminals
51
PRODUCT PREVIEW
Down
PRODUCT PREVIEW
GPIO00 GPIO01 GPIO02 GPIO03 GPIO04 GPIO05 GPIO06 GPIO07 GPIO08 GPIO09 GPIO10 GPIO11 GPIO12 GPIO13 GPIO14 GPIO15
F29 B30 D29 A35 B29 E29 D30 C30 A30 G30 F31 E30 F30 A31 E32 B31
IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ
Up Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down GPIO
52
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 14 of 19)
Ball No. A36 A32 C31 B32 A33 D33 D31 B35 B33 E31 A34 D32 C33 C34 B36 B34 Type IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IOZ IPD/IPU Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down Down HyperLink0 GPIO Description
Table 4-2
Signal Name GPIO16 GPIO17 GPIO18 GPIO19 GPIO20 GPIO21 GPIO22 GPIO23 GPIO24 GPIO25 GPIO26 GPIO27 GPIO28 GPIO29 GPIO30 GPIO31
HYP0RXN0 HYP0RXP0 HYP0RXN1 HYP0RXP1 HYP0RXN2 HYP0RXP2 HYP0RXN3 HYP0RXP3 HYP0TXN0 HYP0TXP0 HYP0TXN1 HYP0TXP1 HYP0TXN2 HYP0TXP2 HYP0TXN3 HYP0TXP3 HYP0RXFLCLK HYP0RXFLDAT HYP0TXFLCLK HYP0TXFLDAT HYP0RXPMCLK HYP0RXPMDAT HYP0TXPMCLK HYP0TXPMDAT HYP0REFRES
AW10 AW11 AU10 AU11 AV9 AV10 AW7 AW8 AP11 AP12 AR10 AR11 AP8 AP9 AR7 AR8 AJ5 AJ4 AJ3 AG5 AJ2 AG3 AH5 AJ1 AM9
I I I I I I I I O O O O O O O O O O I I I I O O A Down Down Down Down Down Down Down Down HyperLink0 SerDes reference resistor input (3 k +/- 1%) HyperLink0 sideband signals HyperLink0 transmit data HyperLink0 receive data
Terminals
53
PRODUCT PREVIEW
HYP1RXN0 HYP1RXP0 HYP1RXN1 HYP1RXP1 HYP1RXN2 HYP1RXP2 HYP1RXN3 HYP1RXP3 HYP1TXN0 HYP1TXP0 HYP1TXN1 HYP1TXP1 HYP1TXN2 HYP1TXP2 HYP1TXN3 HYP1TXP3 HYP1RXFLCLK HYP1RXFLDAT HYP1TXFLCLK HYP1TXFLDAT HYP1RXPMCLK HYP1RXPMDAT HYP1TXPMCLK HYP1TXPMDAT HYP1REFRES
AU7 AU8 AV6 AV7 AU4 AU5 AV3 AV4 AT6 AT7 AP5 AP6 AR4 AR5 AT3 AT4 AH4 AG2 AH3 AH2 AF3 AF4 AH1 AF2 AM6
I I I I I I I I O O O O O O O O O O I I I I O O A Down Down Down Down Down Down Down Down HyperLink1 SerDes reference resistor input (3 k +/- 1%) I C
2
PRODUCT PREVIEW
N1 N4 P4 P3 N2 N3
I I OZ I I
Up Up Up Up Down
JTAG clock input JTAG data input JTAG data output JTAG test mode input JTAG reset MDIO
MDCLK MDIO
AP31 AR32
O IOZ
Down Up
54
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 16 of 19)
Ball No. Type IPD/IPU Description PCIe
Table 4-2
Signal Name
I I I I O O O O A
PCIexpress lane 1 transmit data PCIexpress SerDes reference resistor input (3 k +/- 1%) Serial RapidIO
RIORXN0 RIORXP0 RIORXN1 RIORXP1 RIORXN2 RIORXP2 RIORXN3 RIORXP3 RIOTXN0 RIOTXP0 RIOTXN1 RIOTXP1 RIOTXN2 RIOTXP2 RIOTXN3 RIOTXP3 RIOREFRES
AV24 AV25 AU22 AU23 AW22 AW23 AV21 AV22 AT24 AT25 AR23 AR24 AP22 AP23 AT21 AT22 AM21
I I I I I I I I O O O O O O O O A
Serial RapidIO lane 3 transmit data Serial RapidIO SerDes reference resistor input (3 k +/- 1%) SGMII
SGMII0RXN SGMII0RXP SGMII0TXN SGMII0TXP SGMII1RXN SGMII1RXP SGMII1TXN SGMII1TXP SGMII2RXN SGMII2RXP SGMII2TXN SGMII2TXP SGMII3RXN SGMII3RXP
AW28 AW29 AU28 AU29 AV27 AV28 AT27 AT28 AU25 AU26 AR26 AR27 AW25 AW26
I I O O I I O O I I O O I I
Terminals
55
PRODUCT PREVIEW
VCL VCLT VCNTL0 VCNTL1 VCNTL2 VCNTL3 VCNTL4 VCNTL5 VCNTL0T VCNTL1T VCNTL2T VCNTL3T VCNTL4T VCNTL5T VD VDT
AP36 AT37 AT39 AR37 AR36 AT38 AU38 AR35 AU36 AV37 AU37 AV36 AU35 AW36 AP35 AT35
PRODUCT PREVIEW
Voltage control outputs to variable core power supply for ARM CorePac Domain
Voltage control I C data Voltage control I2C data for ARM CorePac domain SPI0
OZ I OZ OZ OZ OZ OZ
SPI0 clock SPI0 data in SPI0 data out SPI0 interface enable 0 SPI0 interface enable 1 SPI0 interface enable 2 SPI0 interface enable 3 SPI1
OZ I OZ OZ OZ OZ OZ
SPI1 clock SPI1 data in SPI1 data out SPI1 interface enable 0 SPI1 interface enable 1 SPI1 interface enable 2 SPI1 interface enable 3 SPI2
OZ I OZ OZ OZ OZ OZ
SPI2 clock SPI2 data in SPI2 data out SPI2 interface enable 0 SPI2 interface enable 1 SPI2 interface enable 2 SPI2 interface enable 3
56
Terminals
SPRS835DAugust 2013 Terminal Functions Signals and Control by Function (Part 18 of 19)
Ball No. Type IPD/IPU Description Sync-Ethernet / IEEE1588
Table 4-2
Signal Name
O IOZ IOZ O
IEEE1588 compare output. PPS push event from GPS for IEEE1588 Push event from BCN for IEEE1588 IEEE1588 sync event output. Timer
M2 M1 M3 M4
I I OZ OZ
Timer inputs
L1 L4 K4 K2
I OZ I OZ
K1 M5 L2 K3
I OZ I OZ
USBCLKM USBCLKP USBDM USBDP USBDRVVBUS USBID0 USBRX0M USBRX0P USBTX0M USBTX0P USBVBUS USBRESREF
V2 W2 T2 U2 L3 R1 Y1 W1 V1 U1 T1 AA1
USB ref clock USB DUSB D+ Used to enable an external charge pump to provide +5V on the VBUS pin of the USB connector. USB ID USB receive data
USB transmit data Connect to VBUS pin on USB connector through protection switch Reference resistor connection for USB PHY USIM
OZ IOZ OZ
Down Up Down
OZ OZ O O O O
Down Down
Reserved leave unconnected Reserved leave unconnected Reserved leave unconnected Reserved leave unconnected Reserved leave unconnected Reserved leave unconnected
Terminals
57
PRODUCT PREVIEW
PRODUCT PREVIEW
58
Terminals
Table 4-3
Supply AVDDA1 AVDDA2 AVDDA3 AVDDA4 AVDDA5 AVDDA6 AVDDA7 AVDDA8 AVDDA9 AVDDA10 AVDDA11 AVDDA12 AVDDA13 AVDDA14 AVDDA15 CVDD
DDRB DLL supply DDRB DLL supply DDRB DLL supply DDRB DLL supply DDRB DLL supply SmartReflex DSP core supply voltage. Must be tied to CVDDT rail
L6, M7, M9, M25, N6, N8, N10, N12, N14, N16, N18, N22, P7, AVS P9, P13, P15, P17, P19, P21, P27, R8, R10, R12, R14, R16, R18, R20, T9, T11, T15, T17, T19, T27, U8, U10, U12, U18, V9, V15, V19, V27, W8, W10, W12, W14, W18, W20, Y9, Y15, Y17, Y19, Y21, Y23, Y25, Y27, AA8, AA10, AA16, AA18, AA20, AA22, AA24, AA26, AB9, AB11, AB15, AB17, AB19, AB21, AB23, AB25, AB27, AC8, AC10, AC12, AC14, AC16, AC20, AC22, AC24, AC26, AD9, AD13, AD15, AD21, AD23, AD25, AD27, AE8, AE10, AE12, AE14, AE16, AE18, AE20, AE22, AE24, AE26, AF9, AF25, AF27, AG8, AG10, AG28, AH9, AH27, AJ8, AJ10, AJ28, AK7, AK9, AK29, AL6, AL8, AL30, AM5, AM31 T13, T21, U14, U16, U20, V13, V17, V21, W16, AC18, AD17, AD19 0.95 V
Core supply voltage for memory array SmartReflex Cortex-A15 processor core supply voltage. Must be tied to CVDD rail Cortex-A15 processor fixed core memory supply voltage 0.75-V DDR3A reference voltage 0.75-V DDR3B reference voltage 1.5-V DDR IO supply
H31, J30, K29, L28, M27, N24, N26, P23, P25, R24, R26, T23, AVS T25, U24, U26, V23, V25, W24, W26 R22, U22, W22 0.95 V 0.75 V 0.75 V
H7, H9, H11, H13, H15, H17, H19, H21, H23, J6, J8, J10, J12, 1.5 V J14, J16, J18, J20, J22, K7, K9, K11, K13, K15, K17, K19, K21, K23, L8, L10, L12, L14, L16, L18, L20, L32, M11, M17, M19, M31, P29, P31, R28, R30, T29, T31, U28, U30, V29, V31, W28, W30, Y29, Y31, AA28, AA30, AB29, AB31, AC30, AD29, AD31, AE30, AF29, AF31, AG30, AH31, AJ30, AK31, AL32 H25, H27, J26, J28, J32, K25, K27, K31, L24, L26, L30, M29, 1.8 V N30, R6, T7, U6, V5, V7, W6, Y5, Y7, AA6, AB5, AB7, AC6, AD7, AE6, AF7, AG6, AJ26, AK27, AL26, AL28, AM27, AM29 AA14 AK11, AK13, AK15, AK17, AK19, AK21, AK23, AK25, AL10, AL12, AL14, AL16, AL18, AL20, AL22, AL24 AF13, AF15, AF17, AF19, AF21, AF23, AG12, AG14, AG16, AG18, AG20, AG22, AH11, AH13, AH15, AH17, AH19, AH21, AH23, AH25, AJ12, AJ14, AJ16, AJ18, AJ20, AJ22, AJ24 AB13 3.3 V 1.8 V 0.85 V
DVDD18
1.8-V IO supply
VDDUSB
0.85 V
Terminals
59
PRODUCT PREVIEW
A2, A3, A37, A38, B1, B2, B38, B39, C1, C4, C6, C8, C10, C12, GND C14, C16, C18, C20, C22, C24, C26, C29, C32, C39, D34, D37, E3, E5, E7, E9, E11, E13, E15, E17, E19, E21, E28, G23, G25, G27, G29, G31, G35, H4, H6, H8, H12, H14, H18, H20, H24, H26, H28, H29, H30, H32, H37, J1, J2, J3, J4, J5, J7, J9, J11, J13, J15, J17, J19, J21, J23, J24, J25, J27, J29, J31, J33, K5, K6, K8, K10, K12, K14, K16, K18, K20, K22, K24, K26, K28, K30, K32, K35, L5, L7, L9, L11, L13, L15, L17, L19, L21, L23, L25, L27, L29, L31, L37, M6, M8, M10, M12, M14, M16, M22, M24, M26, M28, M30, M33, N5, N7, N9, N11, N13, N15, N17, N19, N21, N23, N25, N27, N29, N31, N35, P5, P6, P8, P10, P12, P14, P16, P18, P20, P22, P24, P26, P28, P30, P33, P37, R2, R5, R7, R9, R11, R13, R15, R17, R19, R21, R23, R25, R27, R29, R31, R35, T6, T8, T10, T12, T14, T16, T18, T20, T22, T24, T26, T28, T30, T33, T37, U7, U9, U11, U13, U15, U17, U19, U21, U23, U25, U27, U29, U31, U35, V3, V6, V8, V10, V12, V14, V16, V18, V20, V22, V24, V26, V28, V30, V33, V37, W5, W7, W9, W11, W13, W15, W17, W19, W21, W23, W25, W27, W29, W31, W35, Y2, Y6, Y8, Y10, Y12, Y14, Y16, Y18, Y20, Y22, Y24, Y26, Y30, Y33, Y37, AA5, AA7, AA9, AA11, AA13, AA15, AA17, AA19, AA21, AA23, AA25, AA27, AA29, AA35, AB6, AB8, AB10, AB12, AB14, AB16, AB18, AB20, AB22, AB24, AB26, AB30, AB33, AB36, AB37, AC7, AC9, AC11, AC13, AC15, AC17, AC19, AC21, AC23, AC25, AC27, AC29, AC35, AD6, AD8, AD10, AD12, AD14, AD16, AD18, AD20, AD22, AD24, AD26, AD30, AD33, AD37, AE3, AE7, AE9, AE11, AE13, AE15, AE17, AE19, AE21, AE23, AE25, AE27, AE29, AE31, AE35, AF6, AF8, AF10, AF12, AF14, AF16, AF18, AF20, AF22, AF24, AF26, AF28, AF30, AF33, AF37, AG4, AG7, AG9, AG11, AG13, AG15, AG17, AG19, AG21, AG23, AG25, AG27, AG29, AG31, AG35, AH6, AH7, AH8, AH10, AH12, AH14, AH16, AH18, AH20, AH22, AH24, AH26, AH28, AH30, AH33, AH37, AJ6, AJ7, AJ9, AJ11, AJ13, AJ15, AJ17, AJ19, AJ21, AJ23, AJ25, AJ27, AJ29, AJ31, AJ32, AJ35, AK2, AK6, AK8, AK10, AK12, AK14, AK16, AK18, AK20, AK22, AK24, AK26, AK28, AK30, AK33, AK37, AL5, AL7, AL9, AL11, AL13, AL15, AL17, AL19, AL21, AL23, AL25, AL27, AL29, AL31, AL35, AM3, AM8, AM10, AM12, AM13, AM17, AM18, AM20, AM22, AM32, AM33, AM37, AN2, AN5, AN6, AN7, AN8, AN9, AN11, AN12, AN13, AN14, AN15, AN17, AN18, AN20, AN21, AN22, AN23, AN24, AN25, AN26, AN27, AN28, AN29, AN31, AN35, AP4, AP7, AP10, AP13, AP16, AP19, AP20, AP21, AP24, AP27, AP28, AP29, AP30, AP37, AR3, AR6, AR9, AR12, AR15, AR18, AR21, AR22, AR25, AR28, AR31, AR33, AT2, AT5, AT8, AT11, AT14, AT17, AT20, AP27, AP28, AP29, AP30, AP37, AR3, AR6, AR9, AR12, AR15, AR18, AR21, AR22, AR25, AR28, AR31, AR33, AT2, AT5, AT8, AT11, AT14, AT17, AT20, AT23, AT26, AT29, AT32, AT36, AU1, AU2, AU3, AU6, AU9, AU12, AU15, AU18, AU21, AU24, AU27, AU30, AU33, AU39, AV1, AV2, AV5, AV8, AV11, AV14, AV17, AV20, AV23, AV26, AV29, AV32, AV35, AV38, AV39, AW2, AW3, AW6, AW9, AW12, AW15, AW18, AW21, AW24, AW27, AW30, AW33, AW37, AW38
PRODUCT PREVIEW
End of Table 4-3
60
Terminals
Table 4-4
Table 4-4
Table 4-4
Signal Name (nopin) (nopin) (nopin) (nopin) AIFREFRES0 AIFREFRES1 AIFRXN0 AIFRXP0 AIFRXN1 AIFRXP1 AIFRXN2 AIFRXP2 AIFRXN3 AIFRXP3 AIFRXN4 AIFRXN5 AIFRXP4 AIFRXP5 AIFTXN0 AIFTXP0 AIFTXN1 AIFTXP1 AIFTXN2 AIFTXP2 AIFTXN3 AIFTXP3 AIFTXN4 AIFTXP4 AIFTXN5 AIFTXP5 ALTCORECLKN ALTCORECLKP ARM_LENDIAN ARMCLKN ARMCLKP ARMAVSSHARED AVDDA1 AVDDA2 AVDDA3 AVDDA4 AVDDA5 AVDDA6
Signal Name AVDDA7 AVDDA8 AVDDA9 AVDDA10 AVDDA11 AVDDA12 AVDDA13 AVDDA14 AVDDA15 AVSIFSEL0 AVSIFSEL1 BOOTMODE00 BOOTMODE01 BOOTMODE02 BOOTMODE03 BOOTMODE04 BOOTMODE05 BOOTMODE06 BOOTMODE07 BOOTMODE08 BOOTMODE09 BOOTMODE10 BOOTMODE11 BOOTMODE12 BOOTMODE13 BOOTMODE14 BOOTMODE15 BOOTCOMPLETE CORECLKSEL CORESEL0 CORESEL1 CORESEL2 CORESEL3 CVDD
CVDD
CVDD
CVDD CVDD1
CVDDT
CVDDT1 DDR3A_REMAP_EN DDR3AA00 DDR3AA01 DDR3AA02 DDR3AA03 DDR3AA04 DDR3AA05 DDR3AA06 DDR3AA07 DDR3AA08 DDR3AA09 DDR3AA10 DDR3AA11 DDR3AA12 DDR3AA13 DDR3AA14 DDR3AA15
Terminals
61
PRODUCT PREVIEW
Table 4-4
Table 4-4
Signal Name DDR3ABA0 DDR3ABA1 DDR3ABA2 DDR3ACAS DDR3ACB00 DDR3ACB01 DDR3ACB02 DDR3ACB03
Signal Name DDR3AD20 DDR3AD21 DDR3AD22 DDR3AD23 DDR3AD24 DDR3AD25 DDR3AD26 DDR3AD27 DDR3AD28 DDR3AD29 DDR3AD30 DDR3AD31 DDR3AD32 DDR3AD33 DDR3AD34 DDR3AD35 DDR3AD36 DDR3AD37 DDR3AD38 DDR3AD39 DDR3AD40 DDR3AD41 DDR3AD42 DDR3AD43 DDR3AD44 DDR3AD45 DDR3AD46 DDR3AD47 DDR3AD48 DDR3AD49 DDR3AD50 DDR3AD51 DDR3AD52 DDR3AD53 DDR3AD54 DDR3AD55 DDR3AD56 DDR3AD57 DDR3AD58 DDR3AD59 DDR3AD60 DDR3AD61
Signal Name DDR3AD62 DDR3AD63 DDR3ADQM0 DDR3ADQM1 DDR3ADQM2 DDR3ADQM3 DDR3ADQM4 DDR3ADQM5 DDR3ADQM6 DDR3ADQM7 DDR3ADQM8 DDR3ADQS0N DDR3ADQS0P DDR3ADQS1N DDR3ADQS1P DDR3ADQS2N DDR3ADQS2P DDR3ADQS3N DDR3ADQS3P DDR3ADQS4N DDR3ADQS4P DDR3ADQS5N DDR3ADQS5P DDR3ADQS6N DDR3ADQS6P DDR3ADQS7N DDR3ADQS7P DDR3ADQS8N DDR3ADQS8P DDR3AODT0 DDR3AODT1 DDR3ARAS DDR3ARESET DDR3ARZQ0 DDR3ARZQ1 DDR3ARZQ2 DDR3AVREFSSTL DDR3AWE DDR3BA00 DDR3BA01 DDR3BA02 DDR3BA03
PRODUCT PREVIEW
DDR3ACB04 DDR3ACB05 DDR3ACB06 DDR3ACB07 DDR3ACE0 DDR3ACE1 DDR3ACKE0 DDR3ACKE1 DDR3ACLKN DDR3ACLKOUTN0 DDR3ACLKOUTN1 DDR3ACLKOUTP0 DDR3ACLKOUTP1 DDR3ACLKP DDR3AD00 DDR3AD01 DDR3AD02 DDR3AD03 DDR3AD04 DDR3AD05 DDR3AD06 DDR3AD07 DDR3AD08 DDR3AD09 DDR3AD10 DDR3AD11 DDR3AD12 DDR3AD13 DDR3AD14 DDR3AD15 DDR3AD16 DDR3AD17 DDR3AD18 DDR3AD19
62
Terminals
Table 4-4
Table 4-4
Table 4-4
Signal Name DDR3BA04 DDR3BA05 DDR3BA06 DDR3BA07 DDR3BA08 DDR3BA09 DDR3BA10 DDR3BA11 DDR3BA12 DDR3BA13 DDR3BA14 DDR3BA15 DDR3BBA0 DDR3BBA1 DDR3BBA2 DDR3BCAS DDR3BCB00 DDR3BCB01 DDR3BCB02 DDR3BCB03 DDR3BCB04 DDR3BCB05 DDR3BCB06 DDR3BCB07 DDR3BCE0 DDR3BCE1 DDR3BCKE0 DDR3BCKE1 DDR3BCLKN DDR3BCLKOUTN0 DDR3BCLKOUTN1 DDR3BCLKOUTP0 DDR3BCLKOUTP1 DDR3BCLKP DDR3BD00 DDR3BD01 DDR3BD02 DDR3BD03 DDR3BD04 DDR3BD05 DDR3BD06 DDR3BD07
Signal Name DDR3BD08 DDR3BD09 DDR3BD10 DDR3BD11 DDR3BD12 DDR3BD13 DDR3BD14 DDR3BD15 DDR3BD16 DDR3BD17 DDR3BD18 DDR3BD19 DDR3BD20 DDR3BD21 DDR3BD22 DDR3BD23 DDR3BD24 DDR3BD25 DDR3BD26 DDR3BD27 DDR3BD28 DDR3BD29 DDR3BD30 DDR3BD31 DDR3BD32 DDR3BD33 DDR3BD34 DDR3BD35 DDR3BD36 DDR3BD37 DDR3BD38 DDR3BD39 DDR3BD40 DDR3BD41 DDR3BD42 DDR3BD43 DDR3BD44 DDR3BD45 DDR3BD46 DDR3BD47 DDR3BD48 DDR3BD49
Signal Name DDR3BD50 DDR3BD51 DDR3BD52 DDR3BD53 DDR3BD54 DDR3BD55 DDR3BD56 DDR3BD57 DDR3BD58 DDR3BD59 DDR3BD60 DDR3BD61 DDR3BD62 DDR3BD63 DDR3BDQM0 DDR3BDQM1 DDR3BDQM2 DDR3BDQM3 DDR3BDQM4 DDR3BDQM5 DDR3BDQM6 DDR3BDQM7 DDR3BDQM8 DDR3BDQS0N DDR3BDQS0P DDR3BDQS1N DDR3BDQS1P DDR3BDQS2N DDR3BDQS2P DDR3BDQS3N DDR3BDQS3P DDR3BDQS4N DDR3BDQS4P DDR3BDQS5N DDR3BDQS5P DDR3BDQS6N DDR3BDQS6P DDR3BDQS7N DDR3BDQS7P DDR3BDQS8N DDR3BDQS8P DDR3BODT0
Terminals
63
PRODUCT PREVIEW
Table 4-4
Table 4-4
Signal Name DDR3BODT1 DDR3BRAS DDR3BRESET DDR3BRZQ0 DDR3BRZQ1 DDR3BRZQ2 DDR3BVREFSSTL DDR3BWE
Signal Name EMIFA15 EMIFA16 EMIFA17 EMIFA18 EMIFA19 EMIFA20 EMIFA21 EMIFA22 EMIFA23 EMIFBE0 EMIFBE1 EMIFCE0 EMIFCE1 EMIFCE2 EMIFCE3 EMIFD00 EMIFD01 EMIFD02 EMIFD03 EMIFD04 EMIFD05 EMIFD06 EMIFD07 EMIFD08 EMIFD09 EMIFD10 EMIFD11 EMIFD12 EMIFD13 EMIFD14 EMIFD15 EMIFOE EMIFRNW EMIFWAIT0 EMIFWAIT1 EMIFWE EMU00 EMU01 EMU02 EMU03 EMU04 EMU05
Signal Name EMU06 EMU07 EMU08 EMU09 EMU10 EMU11 EMU12 EMU13 EMU14 EMU15 EMU16 EMU17 EMU18 EXTFRAMEEVENT GPIO00 GPIO01 GPIO02 GPIO03 GPIO04 GPIO05 GPIO06 GPIO07 GPIO08 GPIO09 GPIO10 GPIO11 GPIO12 GPIO13 GPIO14 GPIO15 GPIO16 GPIO17 GPIO18 GPIO19 GPIO20 GPIO21 GPIO22 GPIO23 GPIO24 GPIO25 GPIO26 GPIO27
PRODUCT PREVIEW
DVDD15
DVDD15
DVDD15 DVDD18
DVDD33 EMIFA00 EMIFA01 EMIFA02 EMIFA03 EMIFA04 EMIFA05 EMIFA06 EMIFA07 EMIFA08 EMIFA09 EMIFA10 EMIFA11 EMIFA12 EMIFA13 EMIFA14
64
Terminals
Table 4-4
Table 4-4
Table 4-4
Signal Name GPIO28 GPIO29 GPIO30 GPIO31 HOUT HYP0CLKN HYP0CLKP HYP0REFRES HYP0RXFLCLK HYP0RXFLDAT HYP0RXN0 HYP0RXN1 HYP0RXN2 HYP0RXN3 HYP0RXP0 HYP0RXP1 HYP0RXP2 HYP0RXP3 HYP0RXPMCLK HYP0RXPMDAT HYP0TXFLCLK HYP0TXFLDAT HYP0TXN0 HYP0TXN1 HYP0TXN2 HYP0TXN3 HYP0TXP0 HYP0TXP1 HYP0TXP2 HYP0TXP3 HYP0TXPMCLK HYP0TXPMDAT HYP1CLKN HYP1CLKP HYP1REFRES HYP1RXFLCLK HYP1RXFLDAT HYP1RXN0 HYP1RXN1 HYP1RXN2 HYP1RXN3 HYP1RXP0
Signal Name HYP1RXP1 HYP1RXP2 HYP1RXP3 HYP1RXPMCLK HYP1RXPMDAT HYP1TXFLCLK HYP1TXFLDAT HYP1TXN0 HYP1TXN1 HYP1TXN2 HYP1TXN3 HYP1TXP0 HYP1TXP1 HYP1TXP2 HYP1TXP3 HYP1TXPMCLK HYP1TXPMDAT LENDIAN LRESETNMIEN LRESET MAINPLLODSEL MDCLK MDIO NMI PACLKSEL PASSCLKN PASSCLKP PCIECLKN PCIECLKP PCIEREFRES PCIERXN0 PCIERXN1 PCIERXP0 PCIERXP1 PCIETXN0 PCIETXN1 PCIETXP0 PCIETXP1 PHYSYNC POR RESETFULL RESETSTAT
Signal Name RESET RADSYNC RIOREFRES RIORXN0 RIORXN1 RIORXN2 RIORXN3 RIORXP0 RIORXP1 RIORXP2 RIORXP3 RIOTXN0 RIOTXN1 RIOTXN2 RIOTXN3 RIOTXP0 RIOTXP1 RIOTXP2 RIOTXP3 RP1CLKP RP1CLKN RP1FBP RP1FBN RSV000 RSV001 RSV002 RSV003 RSV004 RSV005 RSV006 RSV007 RSV008 RSV009 RSV010 RSV011 RSV012 RSV013 RSV014 RSV015 RSV016 RSV017 RSV018
Terminals
65
PRODUCT PREVIEW
Table 4-4
Table 4-4
Signal Name RSV019 RSV020 RSV021 RSV022 RSV023 RSV024 RSV025 RSV026
Signal Name SGMII2RXN SGMII2RXP SGMII2TXN SGMII2TXP SGMII3RXN SGMII3RXP SGMII3TXN SGMII3TXP SGMIIREFRES SPI0CLK SPI0DIN SPI0DOUT SPI0SCS0 SPI0SCS1 SPI0SCS2 SPI0SCS3 SPI1CLK SPI1DIN SPI1DOUT SPI1SCS0 SPI1SCS1 SPI1SCS2 SPI1SCS3 SPI2CLK SPI2DIN SPI2DOUT SPI2SCS0 SPI2SCS1 SPI2SCS2 SPI2SCS3 SRIOSGMIICLKN SRIOSGMIICLKP SYSCLKN SYSCLKOUT SYSCLKP TCK TDI TDO TIMI0 TIMI1 TIMO0 TIMO1
Signal Name TMS TRST TSCOMPOUT TSPUSHEVT0 TSPUSHEVT1 TSREFCLKN TSREFCLKP TSRXCLKOUT0N TSRXCLKOUT0P TSRXCLKOUT1N TSRXCLKOUT1P TSSYNCEVT UART0CTS UART0RTS UART0RXD UART0TXD UART1CTS UART1RTS UART1RXD UART1TXD USBCLKM USBCLKP USBDM USBDP USBDRVVBUS USBID0 USBRESREF USBRX0M USBRX0P USBTX0M USBTX0P USBVBUS USIMRST USIMCLK USIMIO VCL VCLT VCNTL0 VCNTL0T VCNTL1 VCNTL1T VCNTL2
PRODUCT PREVIEW
RSV027 RSV028 RSV029 RSV030 RSV031 RSV032 RSV060 RSV061 RSV062 RSV063 RSV064 RSV065 RSV066 RSV067 RSV068 RSV069 RSV070 RSV071 RSV072 RSV073 SCL0 SCL1 SCL2 SDA0 SDA1 SDA2 SGMII0RXN SGMII0RXP SGMII0TXN SGMII0TXP SGMII1RXN SGMII1RXP SGMII1TXN SGMII1TXP
66
Terminals
Table 4-4
Table 4-4
Table 4-4
Signal Name VCNTL2T VCNTL3 VCNTL3T VCNTL4 VCNTL4T VCNTL5 VCNTL5T VD VDDAHV
VSS
VSS
VSS
VDDALV
VSS
VSS
VDDUSB VDT VNWA1 VNWA2 VNWA3 VNWA4 VP VPH VPP VPTX VSS
VSS
VSS
VSS
VSS
VSS
Terminals
67
PRODUCT PREVIEW
VSS
PRODUCT PREVIEW
VSS
68
Terminals
Table 4-5
Table 4-5
Table 4-5
Ball Number A1 A2 A3 A4 A5 A6 A7 A8 A9 A10 A11 A12 A13 A14 A15 A16 A17 A18 A19 A20 A21 A22 A23 A24 A25 A26 A27 A28 A29 A30 A30 A31 A31 A32 A32 A33 A33 A34 A34 A35 A35 A36
Ball Number A36 A37 A38 A39 B1 B2 B3 B4 B5 B6 B7 B8 B9 B10 B11 B12 B13 B14 B15 B16 B17 B18 B19 B20 B21 B22 B23 B24 B25 B26 B27 B28 B29 B29 B30 B30 B31 B31 B32 B32 B33 B33
Ball Number B34 B34 B35 B35 B36 B36 B37 B38 B39 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 C12 C13 C14 C15 C16 C17 C18 C19 C20 C21 C22 C23 C24 C25 C26 C27 C28 C29 C30 C30 C31 C31
Terminals
69
PRODUCT PREVIEW
Table 4-5
Table 4-5
Ball Number C32 C33 C33 C34 C34 C35 C36 C37
Ball Number D31 D31 D32 D32 D33 D33 D34 D35 D36 D37 D38 D39 E1 E2 E3 E4 E5 E6 E7 E8 E9 E10 E11 E12 E13 E14 E15 E16 E17 E18 E19 E20 E21 E22 E23 E24 E25 E26 E27 E28 E29 E29
Ball Number E30 E30 E31 E31 E32 E32 E33 E34 E35 E36 E37 E38 E39 F1 F2 F3 F4 F5 F6 F7 F8 F9 F10 F11 F12 F13 F14 F15 F16 F17 F18 F19 F20 F21 F22 F23 F24 F25 F26 F27 F28 F29
PRODUCT PREVIEW
C38 C39 D1 D2 D3 D4 D5 D6 D7 D8 D9 D10 D11 D12 D13 D14 D15 D16 D17 D18 D19 D20 D21 D22 D23 D24 D25 D26 D27 D28 D29 D29 D30 D30
70
Terminals
Table 4-5
Table 4-5
Table 4-5
Ball Number F30 F30 F31 F31 F32 F33 F34 F35 F36 F37 F38 F39 G1 G2 G3 G4 G5 G6 G7 G8 G9 G10 G11 G12 G13 G14 G15 G16 G17 G18 G19 G20 G21 G22 G23 G24 G24 G25 G26 G27 G28 G29
Ball Number G30 G30 G31 G32 G33 G34 G35 G36 G37 G38 G39 H1 H2 H3 H4 H5 H6 H7 H8 H9 H10 H11 H12 H13 H14 H15 H16 H17 H18 H19 H20 H21 H22 H23 H24 H25 H26 H27 H28 H29 H30 H31
Ball Number H32 H33 H34 H35 H36 H37 H38 H39 J1 J2 J3 J4 J5 J6 J7 J8 J9 J10 J11 J12 J13 J14 J15 J16 J17 J18 J19 J20 J21 J22 J23 J24 J25 J26 J27 J28 J29 J30 J31 J32 J33 J34
Terminals
71
PRODUCT PREVIEW
Table 4-5
Table 4-5
Ball Number K38 K39 L1 L2 L3 L4 L5 L6 L7 L8 L9 L10 L11 L12 L13 L14 L15 L16 L17 L18 L19 L20 L21 L22 L23 L24 L25 L26 L27 L28 L29 L30 L31 L32 L33 L34 L35 L36 L37 L38 L39 M1
Ball Number M1 M2 M2 M3 M4 M5 M6 M7 M8 M9 M10 M11 M12 M13 M14 M15 M16 M17 M18 M19 M20 M21 M22 M23 M24 M25 M26 M27 M28 M29 M30 M31 M32 M33 M34 M35 M36 M37 M38 M39 N1 N2
PRODUCT PREVIEW
K4 K5 K6 K7 K8 K9 K10 K11 K12 K13 K14 K15 K16 K17 K18 K19 K20 K21 K22 K23 K24 K25 K26 K27 K28 K29 K30 K31 K32 K33 K34 K35 K36 K37
72
Terminals
Table 4-5
Table 4-5
Table 4-5
Ball Number N3 N4 N5 N6 N7 N8 N9 N10 N11 N12 N13 N14 N15 N16 N17 N18 N19 N20 N21 N22 N23 N24 N25 N26 N27 N28 N29 N30 N31 N32 N33 N34 N35 N36 N37 N38 N39 P1 P2 P3 P4 P5
Ball Number P6 P7 P8 P9 P10 P11 P12 P13 P14 P15 P16 P17 P18 P19 P20 P21 P22 P23 P24 P25 P26 P27 P28 P29 P30 P31 P32 P33 P34 P35 P36 P37 P38 P39 R1 R2 R3 R4 R5 R6 R7 R8
Ball Number R9 R10 R11 R12 R13 R14 R15 R16 R17 R18 R19 R20 R21 R22 R23 R24 R25 R26 R27 R28 R29 R30 R31 R32 R33 R34 R35 R36 R37 R38 R39 T1 T2 T3 T4 T5 T6 T7 T8 T9 T10 T11
Terminals
73
PRODUCT PREVIEW
Table 4-5
Table 4-5
Ball Number T12 T13 T14 T15 T16 T17 T18 T19
Ball Number U15 U16 U17 U18 U19 U20 U21 U22 U23 U24 U25 U26 U27 U28 U29 U30 U31 U32 U33 U34 U35 U36 U37 U38 U39 V1 V2 V3 V4 V5 V6 V7 V8 V9 V10 V11 V12 V13 V14 V15 V16 V17
Ball Number V18 V19 V20 V21 V22 V23 V24 V25 V26 V27 V28 V29 V30 V31 V32 V33 V34 V35 V36 V37 V38 V39 W1 W2 W3 W4 W5 W6 W7 W8 W9 W10 W11 W12 W13 W14 W15 W16 W17 W18 W19 W20
PRODUCT PREVIEW
T20 T21 T22 T23 T24 T25 T26 T27 T28 T29 T30 T31 T32 T33 T34 T35 T36 T37 T38 T39 U1 U2 U3 U4 U5 U6 U7 U8 U9 U10 U11 U12 U13 U14
74
Terminals
Table 4-5
Table 4-5
Table 4-5
Ball Number W21 W22 W23 W24 W25 W26 W27 W28 W29 W30 W31 W32 W33 W34 W35 W36 W37 W38 W39 Y1 Y2 Y3 Y4 Y5 Y6 Y7 Y8 Y9 Y10 Y11 Y12 Y13 Y14 Y15 Y16 Y17 Y18 Y19 Y20 Y21 Y22 Y23
Ball Number Y24 Y25 Y26 Y27 Y28 Y29 Y30 Y31 Y32 Y33 Y34 Y35 Y36 Y37 Y38 Y39 AA1 AA2 AA3 AA4 AA5 AA6 AA7 AA8 AA9 AA10 AA11 AA12 AA13 AA14 AA15 AA16 AA17 AA18 AA19 AA20 AA21 AA22 AA23 AA24 AA25 AA26
Ball Number AA27 AA28 AA29 AA30 AA31 AA32 AA33 AA34 AA35 AA36 AA37 AA38 AA39 AB1 AB2 AB3 AB4 AB5 AB6 AB7 AB8 AB9 AB10 AB11 AB12 AB13 AB14 AB15 AB16 AB17 AB18 AB19 AB20 AB21 AB22 AB23 AB24 AB25 AB26 AB27 AB28 AB29
Terminals
75
PRODUCT PREVIEW
Table 4-5
Table 4-5
Ball Number AB30 AB31 AB32 AB33 AB34 AB35 AB36 AB37
Ball Number AC33 AC34 AC35 AC36 AC37 AC38 AC39 AD1 AD2 AD3 AD4 AD5 AD6 AD7 AD8 AD9 AD10 AD11 AD12 AD13 AD14 AD15 AD16 AD17 AD18 AD19 AD20 AD21 AD22 AD23 AD24 AD25 AD26 AD27 AD28 AD29 AD30 AD31 AD32 AD33 AD34 AD35
Ball Number AD36 AD37 AD38 AD39 AE1 AE2 AE3 AE4 AE5 AE6 AE7 AE8 AE9 AE10 AE11 AE12 AE13 AE14 AE15 AE16 AE17 AE18 AE19 AE20 AE21 AE22 AE23 AE24 AE25 AE26 AE27 AE28 AE29 AE30 AE31 AE32 AE33 AE34 AE35 AE36 AE37 AE38
PRODUCT PREVIEW
AB38 AB39 AC1 AC2 AC3 AC4 AC5 AC6 AC7 AC8 AC9 AC10 AC11 AC12 AC13 AC14 AC15 AC16 AC17 AC18 AC19 AC20 AC21 AC22 AC23 AC24 AC25 AC26 AC27 AC28 AC29 AC30 AC31 AC32
76
Terminals
Table 4-5
Table 4-5
Table 4-5
Ball Number AE39 AF1 AF2 AF3 AF4 AF5 AF6 AF7 AF8 AF9 AF10 AF11 AF12 AF13 AF14 AF15 AF16 AF17 AF18 AF19 AF20 AF21 AF22 AF23 AF24 AF25 AF26 AF27 AF28 AF29 AF30 AF31 AF32 AF33 AF34 AF35 AF36 AF37 AF38 AF39 AG1 AG2
Ball Number AG3 AG4 AG5 AG6 AG7 AG8 AG9 AG10 AG11 AG12 AG13 AG14 AG15 AG16 AG17 AG18 AG19 AG20 AG21 AG22 AG23 AG24 AG25 AG26 AG27 AG28 AG29 AG30 AG31 AG32 AG33 AG34 AG35 AG36 AG37 AG38 AG39 AH1 AH2 AH3 AH4 AH5
Ball Number AH6 AH7 AH8 AH9 AH10 AH11 AH12 AH13 AH14 AH15 AH16 AH17 AH18 AH19 AH20 AH21 AH22 AH23 AH24 AH25 AH26 AH27 AH28 AH29 AH30 AH31 AH32 AH33 AH34 AH35 AH36 AH37 AH38 AH39 AJ1 AJ2 AJ3 AJ4 AJ5 AJ6 AJ7 AJ8
Terminals
77
PRODUCT PREVIEW
Table 4-5
Table 4-5
Ball Number AJ9 AJ10 AJ11 AJ12 AJ13 AJ14 AJ15 AJ16
Ball Number AK12 AK13 AK14 AK15 AK16 AK17 AK18 AK19 AK20 AK21 AK22 AK23 AK24 AK25 AK26 AK27 AK28 AK29 AK30 AK31 AK32 AK33 AK34 AK35 AK36 AK37 AK38 AK39 AL1 AL2 AL3 AL4 AL5 AL6 AL7 AL8 AL9 AL10 AL11 AL12 AL13 AL14
Ball Number AL15 AL16 AL17 AL18 AL19 AL20 AL21 AL22 AL23 AL24 AL25 AL26 AL27 AL28 AL29 AL30 AL31 AL32 AL33 AL34 AL35 AL36 AL37 AL38 AL39 AM1 AM2 AM3 AM4 AM5 AM6 AM7 AM8 AM9 AM10 AM11 AM12 AM13 AM14 AM15 AM16 AM17
PRODUCT PREVIEW
AJ17 AJ18 AJ19 AJ20 AJ21 AJ22 AJ23 AJ24 AJ25 AJ26 AJ27 AJ28 AJ29 AJ30 AJ31 AJ32 AJ33 AJ34 AJ35 AJ36 AJ37 AJ38 AJ39 AK1 AK2 AK3 AK4 AK5 AK6 AK7 AK8 AK9 AK10 AK11
78
Terminals
Table 4-5
Table 4-5
Table 4-5
Ball Number AM18 AM19 AM20 AM21 AM22 AM23 AM24 AM25 AM26 AM27 AM28 AM29 AM30 AM31 AM32 AM33 AM34 AM35 AM36 AM37 AM38 AM39 AN1 AN2 AN3 AN4 AN5 AN6 AN7 AN8 AN9 AN10 AN11 AN12 AN13 AN14 AN15 AN16 AN17 AN18 AN19 AN20
Ball Number AN21 AN22 AN23 AN24 AN25 AN26 AN27 AN28 AN29 AN30 AN31 AN32 AN33 AN34 AN35 AN36 AN37 AN38 AN39 AP1 AP2 AP3 AP4 AP5 AP6 AP7 AP8 AP9 AP10 AP11 AP12 AP13 AP14 AP15 AP16 AP17 AP18 AP19 AP20 AP21 AP22 AP23
Ball Number AP24 AP25 AP26 AP27 AP28 AP29 AP30 AP31 AP32 AP33 AP34 AP35 AP36 AP37 AP38 AP39 AR1 AR2 AR3 AR4 AR5 AR6 AR7 AR8 AR9 AR10 AR11 AR12 AR13 AR14 AR15 AR16 AR17 AR18 AR19 AR20 AR21 AR22 AR23 AR24 AR25 AR26
Terminals
79
PRODUCT PREVIEW
Table 4-5
Table 4-5
Ball Number AR27 AR28 AR29 AR30 AR31 AR32 AR33 AR34
Ball Number AT30 AT31 AT32 AT33 AT34 AT35 AT36 AT37 AT38 AT39 AU1 AU2 AU3 AU4 AU5 AU6 AU7 AU8 AU9 AU10 AU11 AU12 AU13 AU14 AU15 AU16 AU17 AU18 AU19 AU20 AU21 AU22 AU23 AU24 AU25 AU26 AU27 AU28 AU29 AU30 AU31 AU32
Ball Number AU33 AU34 AU35 AU36 AU37 AU38 AU39 AV1 AV2 AV3 AV4 AV5 AV6 AV7 AV8 AV9 AV10 AV11 AV12 AV13 AV14 AV15 AV16 AV17 AV18 AV19 AV20 AV21 AV22 AV23 AV24 AV25 AV26 AV27 AV28 AV29 AV30 AV31 AV32 AV33 AV34 AV35
PRODUCT PREVIEW
AR35 AR36 AR37 AR38 AR39 AT1 AT2 AT3 AT4 AT5 AT6 AT7 AT8 AT9 AT10 AT11 AT12 AT13 AT14 AT15 AT16 AT17 AT18 AT19 AT20 AT21 AT22 AT23 AT24 AT25 AT26 AT27 AT28 AT29
80
Terminals
Table 4-5
Table 4-5
Ball Number AV36 AV37 AV38 AV39 AW1 AW2 AW3 AW4 AW5 AW6 AW7 AW8 AW9 AW10 AW11 AW12 AW13 AW14 AW15 AW16 AW17 AW18 AW19 AW20 AW21 AW22 AW23 AW24 AW25 AW26 AW27 AW28 AW29 AW30 AW31 AW32 AW33 AW34 AW35 AW36 AW37
Terminals
81
PRODUCT PREVIEW
PRODUCT PREVIEW
82
Terminals
SPRS835DAugust 2013
Reserved L1D SRAM Reserved C66x CorePac registers C66x CorePac registers C66x CorePac registers C66x CorePac registers Reserved Tracer CFG0 Reserved Tracer CFG1 Reserved Tracer CFG2 Reserved Tracer CFG3 Reserved Tracer CFG4 Reserved Tracer CFG5 Reserved Tracer CFG6 Reserved Tracer CFG7 Reserved Tracer CFG8 Reserved Tracer CFG9 Reserved Tracer CFG10 Reserved Tracer CFG11 Reserved Tracer CFG12
83
PRODUCT PREVIEW
L1P SRAM
PRODUCT PREVIEW
84
SPRS835DAugust 2013 Device Memory Map Summary for TCI6636K2H (Part 3 of 12)
End 00 01E8 3FFF 00 01EB FFFF 00 01EF FFFF 00 01F7 FFFF 00 01F8 FFFF 00 01F9 FFFF 00 01FB FFFF 00 01FD FFFF 00 01FF FFFF 00 020F FFFF Bytes 16K 240k 256K 512K 64K 64K 128K 128K 128K 1M ARM View ARM CorePac VBUSP Memory Mapped Registers Reserved Reserved AIF2 control RAC_1 - FEI control RAC_1 - BEI control RAC_1 - GCCP 0 control RAC_1 - GCCP 1 control Reserved Network Coprocessor (Packet Accelerator, 1-gigabit Ethernet switch subsystem and Security Accelerator) RAC_0 - FEI control RAC_0 - BEI control RAC_0 - GCCP 0 control RAC_0 - GCCP 1 control Reserved Reserved Reserved Reserved Reserved Reserved TCP3d_0 Reserved Reserved Reserved Reserved Reserved TCP3d_1 Reserved VCP2_0 configuration Reserved VCP2_1 configuration Reserved VCP2_2 configuration Reserved VCP2_3 configuration Reserved USIM configuration Reserved Reserved FFTC_0 configuration DSP View ARM CorePac VBUSP Memory Mapped Registers Reserved Reserved AIF2 control RAC_1 - FEI control RAC_1 - BEI control RAC_1 - GCCP 0 control RAC_1 - GCCP 1 control Reserved Network Coprocessor (Packet Accelerator, 1-gigabit Ethernet switch subsystem and Security Accelerator) RAC_0 - FEI control RAC_0 - BEI control RAC_0 - GCCP 0 control RAC_0 - GCCP 1 control Reserved Reserved Reserved Reserved Reserved Reserved TCP3d_0 Reserved Reserved Reserved Reserved Reserved TCP3d_1 Reserved VCP2_0 configuration Reserved VCP2_1 configuration Reserved VCP2_2 configuration Reserved VCP2_3 configuration Reserved USIM configuration Reserved Reserved FFTC_0 configuration SOC View ARM CorePac VBUSP Memory Mapped Registers Reserved Reserved AIF2 control RAC_1 - FEI control RAC_1 - BEI control RAC_1 - GCCP 0 control RAC_1 - GCCP 1 control Reserved Network Coprocessor (Packet Accelerator, 1-gigabit Ethernet switch subsystem and Security Accelerator) RAC_0 - FEI control RAC_0 - BEI control RAC_0 - GCCP 0 control RAC_0 - GCCP 1 control Reserved Reserved Reserved Reserved Reserved Reserved TCP3d_0 Reserved Reserved Reserved Reserved Reserved TCP3d_1 Reserved VCP2_0 configuration Reserved VCP2_1 configuration Reserved VCP2_2 configuration Reserved VCP2_3 configuration Reserved USIM configuration Reserved Reserved FFTC_0 configuration
Table 5-1
Start 00 01E8 0000 00 01E8 4000 00 01EC 0000 00 01F0 0000 00 01F8 0000 00 01F9 0000 00 01FA 0000 00 01FC 0000 00 01FE 0000 00 0200 0000
00 0210 0000 00 0211 0000 00 0212 0000 00 0214 0000 00 0216 0000 00 0218 0000 00 0218 8000 00 0219 0000 00 021A 0000 00 021B 0000 00 021C 0000 00 021C 0400 00 021C 4000 00 021C 4400 00 021C 6000 00 021C 6400 00 021C 8000 00 021C 8400 00 021D 0000 00 021D 0100 00 021D 4000 00 021D 4100 00 021D 8000 00 021D 8100 00 021D C000 00 021D C100 00 021D F000 00 021D F080 00 021E 0000 00 021F 0000
00 0210 FFFF 00 0211 FFFF 00 0213 FFFF 00 0215 FFFF 00 0217 FFFF 00 0218 7FFF 00 0218 FFFF 00 0219 FFFF 00 021A FFFF 00 021B FFFF 00 021C 03FF 00 021C 3FFF 00 021C 43FF 00 021C 5FFF 00 021C 63FF 00 021C 7FFF 00 021C 83FF 00 021C FFFF 00 021D 00FF 00 021D 3FFF 00 021D 40FF 00 021D 7FFF 00 021D 80FF 00 021D BFFF 00 021D C0FF 00 021D EFFF 00 021D F07F 00 021D FFFF 00 021E FFFF 00 021F 07FF
64K 64K 128K 128K 128K 32k 32k 64k 64K 64K 1K 15K 1K 7K 1K 7K 1K 31K 256 16K 256 16K 256 16K 256 12K-256 128 4K-128 64K 2K
85
PRODUCT PREVIEW
PRODUCT PREVIEW
86
SPRS835DAugust 2013 Device Memory Map Summary for TCI6636K2H (Part 5 of 12)
End 00 022F 027F 00 0230 FFFF 00 0231 01FF 00 0231 9FFF 00 0231 BFFF 00 0231 DFFF 00 0231 FFFF 00 0232 3FFF 00 0232 5FFF 00 0232 7FFF 00 0232 8FFF 00 0232 9FFF 00 0232 BFFF 00 0232 DFFF 00 0232 EFFF 00 0232 FFFF 00 0233 03FF 00 0233 07FF 00 0233 FFFF 00 0234 00FF 00 0234 3FFF 00 0234 40FF 00 0234 7FFF 00 0234 80FF 00 0234 BFFF 00 0234 C0FF 00 0234 FFFF 00 0235 0FFF 00 0235 FFFF 00 0236 03FF 00 0236 7FFF 00 0236 83FF 00 0236 FFFF 00 0237 03FF 00 0237 7FFF 00 0237 83FF 00 0237 FFFF 00 0238 03FF 00 0238 83FF Bytes 128 64K 512 40K-512 8K 8K 8K 16K 8K 8K 4K 4K 8K 8K 4K 4K 1K 1K 62K 256 16K 256 16K 256 16K 256 16K 4K 64K-4K 1K 31K 1K 31K 1K 31K 1K 31K 1K 1K ARM View Timer 19 Reserved PLL Controller Reserved HyperLink0 SerDes Config HyperLink1 SerDes Config Reserved PCIE SerDes Config AIF2 SerDes B4 Config AIF2 SerDes B8 Config DDRB PHY Config DDRA PHY Config SGMII SerDes Config SRIO SerDes Config Reserved Reserved SmartReflex0 SmartReflex1 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Power sleep controller (PSC) Reserved Memory protection unit (MPU) 0 Reserved Memory protection unit (MPU) 1 Reserved Memory protection unit (MPU) 2 Reserved Memory protection unit (MPU) 3 Reserved Memory protection unit (MPU) 4 Memory protection unit (MPU) 5 DSP View Timer 19 Reserved PLL Controller Reserved HyperLink0 SerDes Config HyperLink1 SerDes Config Reserved PCIE SerDes Config AIF2 SerDes B4 Config AIF2 SerDes B8 Config DDRB PHY Config DDRA PHY Config SGMII SerDes Config SRIO SerDes Config Reserved Reserved SmartReflex0 SmartReflex1 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Power sleep controller (PSC) Reserved Memory protection unit (MPU) 0 Reserved Memory protection unit (MPU) 1 Reserved Memory protection unit (MPU) 2 Reserved Memory protection unit (MPU) 3 Reserved Memory protection unit (MPU) 4 Memory protection unit (MPU) 5 SOC View Timer 19 Reserved PLL Controller Reserved HyperLink0 SerDes Config HyperLink1 SerDes Config Reserved PCIE SerDes Config
Table 5-1
Start 00 022F 0200 00 0230 0000 00 0231 0000 00 0231 0200 00 0231 A000 00 0231 C000 00 0231 E000 00 0232 0000 00 0232 4000 00 0232 6000 00 0232 8000 00 0232 9000 00 0232 A000 00 0232 C000 00 0232 E000 00 0232 F000 00 0233 0000 00 0233 0400 00 0233 0400 00 0234 0000 00 0234 0100 00 0234 4000 00 0234 4100 00 0234 8000 00 0234 8100 00 0234 C000 00 0234 C100 00 0235 0000 00 0235 1000 00 0236 0000 00 0236 0400 00 0236 8000 00 0236 8400 00 0237 0000 00 0237 0400 00 0237 8000 00 0237 8400 00 0238 0000 00 0238 8000
AIF2 SerDes B8 Config DDRB PHY Config DDRA PHY Config SGMII SerDes Config SRIO SerDes Config Reserved Reserved SmartReflex0 SmartReflex1 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Power sleep controller (PSC) Reserved Memory protection unit (MPU) 0 Reserved Memory protection unit (MPU) 1 Reserved Memory protection unit (MPU) 2 Reserved Memory protection unit (MPU) 3 Reserved Memory protection unit (MPU) 4 Memory protection unit (MPU) 5
87
PRODUCT PREVIEW
Memory protection unit (MPU) 10 Memory protection unit (MPU) 10 Memory protection unit (MPU) 11 Memory protection unit (MPU) 11 Memory protection unit (MPU) 12 Memory protection unit (MPU) 12 Memory protection unit (MPU) 13 Memory protection unit (MPU) 13 Memory protection unit (MPU) 14 Memory protection unit (MPU) 14 Reserved Reserved DSP trace formatter 0 Reserved DSP trace formatter 1 Reserved DSP trace formatter 2 Reserved DSP trace formatter 3 Reserved DSP trace formatter 4 Reserved DSP trace formatter 5 Reserved DSP trace formatter 6 Reserved DSP trace formatter 7 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved DSP trace formatter 0 Reserved DSP trace formatter 1 Reserved DSP trace formatter 2 Reserved DSP trace formatter 3 Reserved DSP trace formatter 4 Reserved DSP trace formatter 5 Reserved DSP trace formatter 6 Reserved DSP trace formatter 7 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved
PRODUCT PREVIEW
88
SPRS835DAugust 2013 Device Memory Map Summary for TCI6636K2H (Part 7 of 12)
End 00 0252 FFFF 00 0253 007F 00 0253 03FF 00 0253 047F 00 0253 07FF 00 0253 087F 00 0253 0BFF 00 0253 0C3F 00 0253 FFFF 00 0253 103F 00 0253 FFFF 00 0255 FFFF 00 0257 FFFF 00 025F FFFF 00 0260 1FFF 00 0260 3FFF 00 0260 5FFF 00 0260 7FFF 00 0260 9FFF 00 0260 BEFF 00 0260 BFFF 00 0261 BFFF 00 0261 FFFF 00 0262 0FFF 00 0262 FFFF 00 0263 FFFF 00 0264 07FF 00 0264 FFFF 00 0267 FFFF 00 0268 FFFF 00 0270 7FFF 00 0270 FFFF 00 0271 FFFF 00 0272 7FFF 00 0272 FFFF 00 0273 FFFF 00 0274 7FFF Bytes 64K-1K 128 1K-128 128 1K-128 128 1K-128 64 1K-64 64 60K-64 128K 128K 512K 8K 8K 8K 8K 8K 8K-256 256 64K 16K 4K 60K 64K 2K 62K 192K 512K 32K 32K 64K 32K 32K 64K 32K ARM View Reserved I2C0 Reserved I C1 Reserved I C2 Reserved UART0 Reserved UART1 Reserved BCP ARM CorePac INTC (GIC400) Memory Mapped Registers TAC Secondary interrupt controller (INTC) 0 Reserved Secondary interrupt controller (INTC) 1 Reserved Secondary interrupt controller (INTC) 2 Reserved GPIO Config Reserved Reserved BOOTCFG chip-level registers Reserved USB PHY Config Semaphore Config Reserved Reserved USB MMR Config EDMA channel controller (TPCC) 0 EDMA channel controller (TPCC) 4 Reserved EDMA channel controller (TPCC) 1 EDMA channel controller (TPCC) 3 Reserved EDMA channel controller (TPCC) 2
2 2
Table 5-1
Start 00 0252 0400 00 0253 0000 00 0253 0080 00 0253 0400 00 0253 0480 00 0253 0800 00 0253 0880 00 0253 0C00 00 0253 0C40 00 0253 1000 00 0253 1040 00 0254 0000 00 0256 0000 00 0258 0000 00 0260 0000 00 0260 2000 00 0260 4000 00 0260 6000 00 0260 8000 00 0260 A000 00 0260 BF00 00 0260 C000 00 0261 C000 00 0262 0000 00 0262 1000 00 0263 0000 00 0264 0000 00 0264 0800 00 0265 0000 00 0268 0000 00 0270 0000 00 0270 8000 00 0271 0000 00 0272 0000 00 0272 8000 00 0273 0000 00 0274 0000
Physical 40 bit Address DSP View Reserved I2C0 Reserved I C1 Reserved I C2 Reserved UART0 Reserved UART1 Reserved BCP ARM CorePac INTC (GIC400) Memory Mapped Registers TAC Secondary interrupt controller (INTC) 0 Reserved Secondary interrupt controller (INTC) 1 Reserved Secondary interrupt controller (INTC) 2 Reserved GPIO Config Reserved Reserved BOOTCFG chip-level registers Reserved USB PHY Config Semaphore Config Reserved Reserved USB MMR Config
2 2
UART1 Reserved BCP ARM CorePac INTC (GIC400) Memory Mapped Registers TAC Secondary interrupt controller (INTC) 0 Reserved Secondary interrupt controller (INTC) 1 Reserved Secondary interrupt controller (INTC) 2 Reserved GPIO Config Reserved Reserved BOOTCFG chip-level registers Reserved USB PHY Config Semaphore Config Reserved Reserved USB MMR Config
EDMA channel controller (TPCC) EDMA channel controller 0 (TPCC) 0 EDMA channel controller (TPCC) EDMA channel controller 4 (TPCC) 4 Reserved Reserved
EDMA channel controller (TPCC) EDMA channel controller 1 (TPCC) 1 EDMA channel controller (TPCC) EDMA channel controller 3 (TPCC) 3 Reserved Reserved
89
PRODUCT PREVIEW
Reserved
EDMA TPCC0 transfer controller EDMA TPCC0 transfer controller (TPTC) 0 (TPTC) 0 Reserved Reserved
EDMA TPCC0 transfer controller EDMA TPCC0 transfer controller (TPTC) 1 (TPTC) 1 Reserved Reserved
EDMA TPCC1 transfer controller EDMA TPCC1 transfer controller (TPTC) 0 (TPTC) 0 Reserved Reserved
PRODUCT PREVIEW
00 0277 8000 00 0278 0400 00 0278 0000 00 0278 0400 00 0278 8000 00 0278 8400 00 0279 0000 00 0279 0400 00 0279 8000 00 0279 8400 00 027A 0000 00 027A 0400 00 027A 8000 00 027A 8400 00 027B 0000 00 027B 0400 00 027B 8000 00 027B 8400 00 027B 8800 00 027B 8C00 00 027C 0000 00 027C 0400 00 027D 0000 00 027D 4000 00 027D 8000
EDMA TPCC1 transfer controller EDMA TPCC1 transfer controller (TPTC) 1 (TPTC) 1 Reserved Reserved
EDMA TPCC1 transfer controller EDMA TPCC1 transfer controller (TPTC) 2 (TPTC) 2 Reserved Reserved
EDMA TPCC1 transfer controller EDMA TPCC1 transfer controller (TPTC) 3 (TPTC) 3 Reserved Reserved
EDMA TPCC2 transfer controller EDMA TPCC2 transfer controller (TPTC) 0 (TPTC) 0 Reserved Reserved
EDMA TPCC2 transfer controller EDMA TPCC2 transfer controller (TPTC) 1 (TPTC) 1 Reserved Reserved
EDMA TPCC2 transfer controller EDMA TPCC2 transfer controller (TPTC) 2 (TPTC) 2 Reserved Reserved
EDMA TPCC2 transfer controller EDMA TPCC2 transfer controller (TPTC) 3 (TPTC) 3 Reserved Reserved
EDMA TPCC3 transfer controller EDMA TPCC3 transfer controller (TPTC) 0 (TPTC) 0 Reserved Reserved
EDMA TPCC3 transfer controller EDMA TPCC3 transfer controller (TPTC) 1 (TPTC) 1 EDMA TPCC4 transfer controller EDMA TPCC4 transfer controller (TPTC) 0 (TPTC) 0 EEDMA TPCC4 transfer controller (TPTC) 1 Reserved BCR config Reserved EEDMA TPCC4 transfer controller (TPTC) 1 Reserved BCR config Reserved TI embedded trace buffer (TETB) - CorePac0
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac0 (TETB) - CorePac0 TBR ARM CorePac - Trace buffer ARM CorePac Reserved
TBR ARM CorePac - Trace buffer TBR ARM CorePac - Trace buffer - ARM CorePac - ARM CorePac Reserved Reserved
90
SPRS835DAugust 2013 Device Memory Map Summary for TCI6636K2H (Part 9 of 12)
End 00 027E 3FFF 00 027E FFFF 00 027F 3FFF 00 027F FFFF 00 0280 3FFF 00 0280 FFFF 00 0281 3FFF 00 0281 FFFF 00 0282 3FFF 00 0282 FFFF 00 0283 3FFF 00 0283 FFFF 00 0284 3FFF 00 0284 FFFF 00 0285 7FFF 00 0285 FFFF 00 028F FFFF 00 0293 FFFF 00 029F FFFF 00 02AF FFFF 00 02BF FFFF 00 02C0 FFFF 00 02C1 FFFF 00 02C3 FFFF 00 02C5 FFFF 00 02C7 FFFF 00 02C8 FFFF 00 02C9 FFFF 00 02CB FFFF 00 02CD FFFF 00 02EF FFFF 00 02FF FFFF 00 030F FFFF 00 07FF FFFF 00 0801 FFFF 00 0BBF FFFF 00 0BCF FFFF Bytes 16K 48K 16K 48K 16K 48K 16K 48K 16K 48K 16K 48K 16K 48K 32K 32K 640K 256K 768K 1M 1M 64K 64K 128K 128K 128K 64K 64K 128K 128K 15M-896K 1M 1M 79M 128K 60M-128K 1M ARM View DSP View SOC View TI embedded trace buffer (TETB) - CorePac1 Reserved TI embedded trace buffer (TETB) - CorePac2 Reserved TI embedded trace buffer (TETB) - CorePac3 Reserved
Table 5-1
Start 00 027E 0000 00 027E 4000 00 027F 0000 00 027F 4000 00 0280 0000 00 0280 4000 00 0281 0000 00 0281 4000 00 0282 0000 00 0282 4000 00 0283 0000 00 0283 4000 00 0284 0000 00 0284 4000 00 0285 0000 00 0285 8000 00 0286 0000 00 0290 0000 00 0294 0000 00 02A0 0000 00 02B0 0000 00 02C0 0000 00 02C1 0000 00 02C2 0000 00 02C4 0000 00 02C6 0000 00 02C8 0000 00 02C9 0000 00 02CA 0000 00 02CC 0000 00 02CE 0000 00 02F0 0000 00 0300 0000 00 0310 0000 00 0800 0000 00 0802 0000 00 0BC0 0000
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac1 (TETB) - CorePac1 Reserved Reserved
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac2 (TETB) - CorePac2 Reserved Reserved
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac3 (TETB) - CorePac3 Reserved Reserved
Reserved
Reserved
Reserved TI embedded trace buffer (TETB) - CorePac5 Reserved TI embedded trace buffer (TETB) - CorePac6 Reserved TI embedded trace buffer (TETB) - CorePac7 Reserved TBR_SYS-Trace Buffer -System Reserved Reserved Serial RapidIO configuration (SRIO) Reserved Navigator configuration Navigator linking RAM Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Debug_SS Configuration Reserved Extended memory controller (XMC) configuration Reserved Multicore shared memory controller (MSMC) config
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac5 (TETB) - CorePac5 Reserved Reserved
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac6 (TETB) - CorePac6 Reserved Reserved
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac7 (TETB) - CorePac7 Reserved TBR_SYS-Trace Buffer -System Reserved Reserved Serial RapidIO configuration (SRIO) Reserved Navigator configuration Navigator linking RAM Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Debug_SS Configuration Reserved Extended memory controller (XMC) configuration Reserved Multicore shared memory controller (MSMC) config Reserved TBR_SYS-Trace Buffer -System Reserved Reserved Serial RapidIO configuration (SRIO) Reserved Navigator configuration Navigator linking RAM Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Debug_SS Configuration Reserved Extended memory controller (XMC) configuration Reserved Multicore shared memory controller (MSMC) config
91
PRODUCT PREVIEW
TI embedded trace buffer (TETB) - TI embedded trace buffer CorePac4 (TETB) - CorePac4
PRODUCT PREVIEW
00 10F0 0000 00 10F0 8000 00 1180 0000 00 1190 0000 00 11E0 0000 00 11E0 8000 00 11F0 0000 00 11F0 8000 00 1280 0000 00 1290 0000 00 12E0 0000 00 12E0 8000 00 12F0 0000 00 12F0 8000 00 1380 0000 00 1390 0000 00 13E0 0000 00 13E0 8000 00 13F0 0000 00 13F0 8000 00 1480 0000 00 1490 0000 00 14E0 0000 00 14E0 8000 00 14F0 0000 00 14F0 8000 00 1580 0000 00 1590 0000 00 15E0 0000 00 15E0 8000 00 15F0 0000 00 15F0 8000 00 1680 0000 00 1690 0000
92
SPRS835DAugust 2013 Device Memory Map Summary for TCI6636K2H (Part 11 of 12)
End 00 16E0 7FFF 00 16EF FFFF 00 16F0 7FFF 00 177F FFFF 00 178F FFFF 00 17DF FFFF 00 17E0 7FFF 00 17EF FFFF 00 17F0 7FFF 00 1FFF FFFF 00 200F FFFF 00 201F FFFF 00 205F FFFF 00 206F FFFF 00 207F FFFF 00 208F FFFF 00 209F FFFF 00 20A3 FFFF 00 20A4 FFFF 00 20AF FFFF 00 20B3 FFFF 00 20BE FFFF 00 20BF 01FF 00 20FF FFFF 00 2100 03FF 00 2100 05FF 00 2100 07FF 00 2100 09FF 00 2100 0AFF 00 2100 FFFF 00 2101 01FF 00 2101 07FF 00 2101 09FF 00 2101 0FFF 00 2101 FFFF 00 2103 FFFF 00 217F FFFF 00 2140 00FF 00 2140 01FF 00 217F FFFF 00 2180 7FFF 00 21BF FFFF Bytes 32K 1M-32K 32K 9M-32K 1M 5M 32K 1M-32K 32K 129M-32K 1M 1M 4M 1M 1M 1M 1M 256K 64K 704K 256K 704K 64K 4M 1K 512 512 512 256 62K-768 512 2K-512 512 2K-512 60K 128K 4M-256K 256 256 4M-512 32K 4M-32K ARM View CorePac6 L1P SRAM Reserved CorePac6 L1D SRAM Reserved CorePac7 L2 SRAM Reserved CorePac7 L1P SRAM Reserved CorePac7 L1D SRAM Reserved System trace manager (STM) configuration Reserved Reserved TCP3d_1 data Reserved TCP3d_0 data Reserved Reserved Reserved Reserved Boot ROM Reserved Reserved Reserved Reserved SPI0 SPI1 SPI2 EMIF Config Reserved DDR3A EMIF Config Reserved Reserved Reserved Reserved DDR3B EMIF configuration Reserved HyperLink0 config HyperLink1 config Reserved PCIe config Reserved DSP View CorePac6 L1P SRAM Reserved CorePac6 L1D SRAM Reserved CorePac7 L2 SRAM Reserved CorePac7 L1P SRAM Reserved CorePac7 L1D SRAM Reserved System trace manager (STM) configuration Reserved Reserved TCP3d_1 data Reserved TCP3d_0 data Reserved Reserved Reserved Reserved Boot ROM Reserved Reserved Reserved Reserved SPI0 SPI1 SPI2 EMIF Config Reserved Reserved Reserved Reserved Reserved Reserved DDR3B EMIF configuration Reserved HyperLink0 config HyperLink1 config Reserved PCIe config Reserved SOC View CorePac6 L1P SRAM Reserved CorePac6 L1D SRAM Reserved CorePac7 L2 SRAM Reserved CorePac7 L1P SRAM Reserved
Table 5-1
Start 00 16E0 0000 00 16E0 8000 00 16F0 0000 00 16F0 8000 00 1780 0000 00 1790 0000 00 17E0 0000 00 17E0 8000 00 17F0 0000 00 17F0 8000 00 2000 0000 00 2010 0000 00 2020 0000 00 2060 0000 00 2070 0000 00 2080 0000 00 2090 0000 00 20A0 0000 00 20A4 0000 00 20A5 0000 00 20B0 0000 00 20B4 0000 00 20BF 0000 00 20C0 0000 00 2100 0000 00 2100 0400 00 2100 0600 00 2100 0800 00 2100 0A00 00 2100 0B00 00 2101 0000 00 2101 0200 00 2101 0800 00 2101 0A00 00 2101 1000 00 2102 0000 00 2104 0000 00 2140 0000 00 2140 0100 00 2140 0400 00 2180 0000 00 2180 8000
Reserved System trace manager (STM) configuration Reserved Reserved TCP3d_1 data Reserved TCP3d_0 data Reserved Reserved Reserved Reserved Boot ROM Reserved Reserved Reserved Reserved SPI0 SPI1 SPI2 EMIF Config Reserved DDR3A EMIF Config Reserved Reserved Reserved Reserved DDR3B EMIF configuration Reserved HyperLink0 config HyperLink1 config Reserved PCIe config Reserved
93
PRODUCT PREVIEW
Physical 40 bit Address DSP View Reserved Reserved VCP2_0 Data Reserved VCP2_1 Data Reserved VCP2_2 Data Reserved VCP2_3 Data Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved TAC BEI Reserved Navigator BCR-RAC data Reserved HyperLink1 data EMIF16 CE0 EMIF16 CE1 EMIF16 CE2 EMIF16 CE3 HyperLink0 data PCIe data DDR3B data DDR3B data Reserved DDR3A EMIF configuration (7) Reserved DDR3A data Reserved
(7) (3)
SOC View Reserved Reserved VCP2_0 Data Reserved VCP2_1 Data Reserved VCP2_2 Data Reserved VCP2_3 Data Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved TAC BEI Reserved Navigator BCR-RAC data Reserved HyperLink1 data EMIF16 CE0 EMIF16 CE1 EMIF16 CE2 EMIF16 CE3 HyperLink0 data PCIe data DDR3B data DDR3A data Reserved DDR3A EMIF configuration (8) Reserved DDR3A data Reserved
(8) (5)
PRODUCT PREVIEW
94
SPRS835DAugust 2013
8 Access to 40-bit address requires MSMC MPAX programmation. MPAX from SES port need to re-map the region of 00 2101 0000-00 2101 01FF to this region.
Setting Default permission Number of allowed IDs supported Number of programmable ranges supported Compare width End of Table 5-2
Table 5-3
Setting
Default permission Number of allowed IDs supported Number of programmable ranges supported Compare width End of Table 5-3
95
PRODUCT PREVIEW
Number of programmable ranges supported 2 Compare width End of Table 5-4 1KB granularity
Table 5-5
MPU0 MPU1 MPU2 MPU3 MPU4 MPU5 MPU6 MPU7 MPU8 MPU9 MPU10 MPU11 MPU12 MPU13 MPU14 End of Table 5-5
PRODUCT PREVIEW
Table 5-6 shows the unique Master ID assigned to each C66x CorePac and peripherals on the device.
Table 5-6
Master ID 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14
96
Table 5-6
Master ID 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 to 55 56 57 58 59
EDMA0_TC0 read EDMA0_TC0 write EDMA0_TC1 read HyperLink0 HyperLink1 SRIO PCIE EDMA0_TC1 write EDMA1_TC0 read EDMA1_TC0 write EDMA1_TC1 read EDMA1_TC1write EDMA1_TC2 read EDMA1_TC2 write EDMA1_TC3 read EDMA1_TC3 write EDMA2_TC0 read EDMA2_TC0 write EDMA2_TC1 read EDMA2_TC1 write EDMA2_TC2 read EDMA2_TC2 write EDMA2_TC3 read EDMA2_TC3 write EDMA3_TC0 read EDMA3_TC0 write EDMA3_TC1 read Reserved EDMA3_TC1 write SRIO PKTDMA FFTC_0 FFTC_1 RAC_1_BE0 RAC_1_BE1
97
PRODUCT PREVIEW
Reserved
PRODUCT PREVIEW
98
Table 5-6
Master ID 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180-183 184-255 End of Table 5-6
USB EDMA4_TC0 read EDMA4_TC0 write EDMA4_TC1 read EDMA4_TC1 write EDMA4_CC_TR CPT_MSMC0 CPT_MSMC1 CPT_MSMC2 CPT_MSMC3 Reserved TAC FEI2 NETCP Reserved
NoteThere are two master ID values assigned to the Queue Manager_second master port, one master ID
for external linking RAM and the other one for the PDSP/MCDM accesses. Table 5-7 shows the privilege ID of each C66x CorePac and every mastering peripheral. The table also shows the privilege level (supervisor vs. user), security level (secure vs. non-secure), and access type (instruction read vs. data/DMA read or write) of each master on the device. In some cases, a particular setting depends on software being executed at the time of the access or the configuration of the master peripheral.
Table 5-7
Privilege ID 0 1 2 3 4 5 6
99
PRODUCT PREVIEW
CPT_SPI_ROM_EMIF16
Non-secure User/driven by SRIO block, user mode and supervisor mode is determined by per transaction basis. Only the transaction with source ID matching the value in SupervisorID register is granted supervisor mode. User Supervisor Driven by Emulation SW Supervisor Supervisor Non-secure Non-secure Driven by Emulation SW Non-secure Non-secure
10 11 12 13 14 15
(1)
PRODUCT PREVIEW
5.2.1 MPU Registers This section includes the offsets for MPU registers and definitions for device-specific MPU registers. For Number of Programmable Ranges supported (PROGx_MPSA, PROGxMPEA) refer to the following tables.
5.2.1.1 MPU Register Map
Table 5-8
Offset 0h 4h 10h 14h 18h 1Ch 20h 200h 204h 208h 210h 214h 218h 220h 224h 228h 230h 234h 238h 240h 244h
100
Table 5-8
Offset 248h 250h 254h 258h 260h 264h 268h 270h 274h 278h 280h 284h 288h 290h 294h 298h 2A0h 2A4h 2A8h 2B0h 2B4h 2B8h 2C0h 2C4h 2C8h 2D0h 2D4h 2Dh 2E0h 2E4h 2E8h 2F0h 2F4h 2F8h 300h 304h 308h End of Table 5-8
Programmable range 8, start address Programmable range 8, end address Programmable range 8, memory page protection attributes Programmable range 9, start address Programmable range 9, end address Programmable range 9, memory page protection attributes Programmable range 10, start address Programmable range 10, end address Programmable range 10, memory page protection attributes Programmable range 11, start address Programmable range 11, end address Programmable range 11, memory page protection attributes Programmable range 12, start address Programmable range 12, end address Programmable range 12, memory page protection attributes Programmable range 13, start address Programmable range 13, end address Programmable range 13, memory page protection attributes Programmable range 14, start address Programmable range 14, end address Programmable range 14, memory page protection attributes Programmable range 15, start address Programmable range 15, end address Programmable range 15, memory page protection attributes Fault address Fault status Fault clear
101
PRODUCT PREVIEW
The configuration register (CONFIG) contains the configuration value of the MPU.
Figure 5-1 Configuration Register (CONFIG)
31 ADDR_WIDTH MPU0 MPU1 MPU2 MPU3 R-0 R-0 R-0 R-0 R-0 R-0 24 23 20 19 16 15 12 11 1 0 ASSUME_ALLOWED R-1 R-1 R-1 R-1 R-1 R-1
PRODUCT PREVIEW
MPU4 MPU5 MPU6 Reset Values MPU7 MPU8 MPU9 MPU10 MPU11 MPU12 MPU13 MPU14
Table 5-9
Bits 31 24 Field
23 20 19 16 15 12 11 1 0
The Programmable Address Start Register holds the start address for the range. This register is writeable by a supervisor entity only. If NS = 0 (non-secure mode) in the associated MPPAR register, then the register is also writeable only by a secure entity.
102
SPRS835DAugust 2013
The start address must be aligned on a page boundary. The size of the page is 1K byte. The size of the page determines the width of the address field in MPSAR and MPEAR.
Figure 5-2
31 START_ADDR R/W Legend: R = Read only; R/W = Read/Write
Table 5-10
Bit 31 10 90 Field
Table 5-11
Register PROG0_MPSAR PROG1_MPSAR PROG2_MPSAR PROG3_MPSAR PROG4_MPSAR PROG5_MPSAR PROG6_MPSAR PROG7_MPSAR PROG8_MPSAR PROG9_MPSAR PROG10_MPSAR PROG11_MPSAR PROG12_MPSAR PROG13_MPSAR PROG14_MPSAR PROG15_MPSAR
Table 5-12
Register PROG0_MPSAR PROG1_MPSAR PROG2_MPSAR PROG3_MPSAR PROG4_MPSAR PROG5_MPSAR PROG6_MPSAR PROG7_MPSAR PROG8_MPSAR PROG9_MPSAR
MPU6-MPU11 Programmable Range n Start Address Register (PROGn_MPSAR) Reset Values (Part 1 of 2)
MPU6 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved MPU7 0x2101_0000 0x0000_0000 0x0800_0000 0x1000_0000 0x1800_0000 0x2000_0000 0x2800_0000 0x3000_0000 0x3800_0000 0x4000_0000 MPU8 0x3000_0000 0x3200_0000 0x3400_0000 0x3600_0000 0x3800_0000 0x3A00_0000 0x3C00_0000 0x2100_0800 N/A N/A MPU9 0x0260_0000 0x0260_4000 0x0260_8000 0x0256_0000 0x0000_0000 0x0000_0000 0x0000_0000 0x0000_0000 0x0000_0000 0x0000_0000 MPU10 0x0264_0000 0x0000_0000 N/A N/A N/A N/A N/A N/A N/A N/A MPU11 0x0220_0000 0x0231_0000 0x0231_A000 0x0233_0000 0x0235_0000 0x0263_0000 0x0244_0000 0x024C_0000 0x0250_0000 0x0253_0000
103
PRODUCT PREVIEW
MPU6-MPU11 Programmable Range n Start Address Register (PROGn_MPSAR) Reset Values (Part 2 of 2)
MPU6 Reserved Reserved Reserved Reserved Reserved Reserved MPU7 0x4800_0000 0x5000_0000 0x5800_0000 0x6000_0000 0x6800_0000 0x7000_0000 MPU8 N/A N/A N/A N/A N/A N/A MPU9 0x0000_0000 0x0000_0000 0x0000_0000 0x0000_0000 0x0000_0000 0x0000_0000 MPU10 N/A N/A N/A N/A N/A N/A MPU11 0x0253_0C00 0x0260_B000 0x0262_0000 0x0300_0000 0x021E_0000 0x0268_0000
Table 5-13
PRODUCT PREVIEW
Register PROG0_MPSAR PROG1_MPSAR PROG2_MPSAR PROG3_MPSAR PROG4_MPSAR PROG5_MPSAR PROG6_MPSAR PROG7_MPSAR PROG8_MPSAR PROG9_MPSAR PROG10_MPSAR PROG11_MPSAR PROG12_MPSAR PROG13_MPSAR PROG14_MPSAR PROG15_MPSAR End of Table 5-13
The programmable address end register holds the end address for the range. This register is writeable by a supervisor entity only. If NS = 0 (non-secure mode) in the associated MPPAR register then the register is also writeable only by a secure entity. The end address must be aligned on a page boundary. The size of the page depends on the MPU number. The page size for MPU1 is 1K byte and for MPU2 it is 64K bytes. The size of the page determines the width of the address field in MPSAR and MPEAR
Figure 5-3
31 END_ADDR R/W Legend: R = Read only; R/W = Read/Write
104
Table 5-14
Bit 31 10 90
Table 5-15
Register PROG0_MPEAR PROG1_MPEAR PROG2_MPEAR PROG3_MPEAR PROG4_MPEAR PROG5_MPEAR PROG6_MPEAR PROG7_MPEAR PROG8_MPEAR PROG9_MPEAR PROG10_MPEAR PROG11_MPEAR PROG12_MPEAR PROG13_MPEAR PROG14_MPEAR PROG15_MPEAR
0x02A0_BFFF 0x02A0_DFFF 0x02A0_E7FF 0x02A0_F7FF 0x02A0_FFFF 0x02A1_7FFF 0x02A1_FFFF 0x02A3_FFFF 0x02A7_FFFF 0x02AB_FFFF 0x02B7_FFFF 0x02BF_FFFF
Table 5-16
Register PROG0_MPEAR PROG1_MPEAR PROG2_MPEAR PROG3_MPEAR PROG4_MPEAR PROG5_MPEAR PROG6_MPEAR PROG7_MPEAR PROG8_MPEAR PROG9_MPEAR PROG10_MPEAR PROG11_MPEAR PROG12_MPEAR PROG13_MPEAR PROG14_MPEAR PROG15_MPEAR
105
PRODUCT PREVIEW
0x02A0_7FFF
PRODUCT PREVIEW
The programmable address memory protection page attribute register holds the permissions for the region. This register is writeable only by a non-debug supervisor entity. If NS = 0 (secure mode) then the register is also writeable only by a non-debug secure entity. The NS bit is writeable only by a non-debug secure entity. For debug accesses, the register is writeable only when NS = 1 or EMU = 1.
Figure 5-4
31 Reserved R 14 AID4 R/W 13 AID3 R/W 12 AID2 R/W 11 AID1 R/W 10 AID0 R/W
Table 5-18
Bits 31 26 25
Programmable Range n Memory Protection Page Attribute Register Field Descriptions (Part 1 of 3)
Name Reserved AID15 Description Reserved. Always read as 0. Controls access from ID = 15 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 14 0 = Access is not checked for permissions 1 = Access is checked for permissions
24
AID14
106
SPRS835DAugust 2013 Programmable Range n Memory Protection Page Attribute Register Field Descriptions (Part 2 of 3)
Name AID13 Description Controls access from ID = 13 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 12 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 11 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 10 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 9 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 8 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 7 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 6 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 5 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 4 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 3 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 2 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 1 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID = 0 0 = Access is not checked for permissions 1 = Access is checked for permissions Controls access from ID > 15 0 = Access is not checked for permissions 1 = Access is checked for permissions Reserved. Always reads as 0. Non-secure access permission 0 = Only secure access allowed 1 = Non-secure access allowed Emulation (debug) access permission. This bit is ignored if NS = 1 0 = Debug access not allowed 1 = Debug access allowed
Table 5-18
Bits 23
22
AID12
21
AID11
20
AID10
19
AID9
18
AID8
17
AID7
16
AID6
15
AID5
14
AID4
13
AID3
12
AID2
11
AID1
10
AID0
AIDX
8 7
Reserved NS
EMU
107
PRODUCT PREVIEW
Programmable Range n Memory Protection Page Attribute Register Field Descriptions (Part 3 of 3)
Name Description Supervisor Read permission 0 = Access not allowed 1 = Access allowed Supervisor Write permission 0 = Access not allowed 1 = Access allowed Supervisor Execute permission 0 = Access not allowed 1 = Access allowed User Read permission 0 = Access not allowed 1 = Access allowed User Write permission 0 = Access not allowed 1 = Access allowed User Execute permission 0 = Access not allowed 1 = Access allowed
SW
SX
UR
PRODUCT PREVIEW
UW
UX
Table 5-19
Register PROG0_MPPAR PROG1_MPPAR PROG2_MPPAR PROG3_MPPAR PROG4_MPPAR PROG5_MPPAR PROG6_MPPAR PROG7_MPPAR PROG8_MPPAR PROG9_MPPAR PROG10_MPPAR PROG11_MPPAR PROG12_MPPAR PROG13_MPPAR PROG14_MPPAR PROG15_MPPAR
MPU0-MPU5 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPAR) Reset Values
MPU0 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB4 0x03FF_FCB6 0x03FF_FCB0 0x03FF_FCB6 MPU1 0x03FF_FCB6 0x03FF_FCB4 0x03FF_FCA4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCA4 0x03FF_FCB6 0x03FF_FCA4 0x03FF_FCA4 MPU2 0x03FF_FCB6 0x03FF_FCB4 0x03FF_FCA4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCA4 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 MPU3 0x03FF_FCB6 0x03FF_FCB6 N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A MPU4 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A MPU5 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCA4 0x03FF_FCF4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCB4 0x03FF_FCF4 0x03FF_FCF4 0x03FF_FCA4 0x03FF_FCB6 0x03FF_FCA4 0x03FF_FCA4
108
SPRS835DAugust 2013 MPU6-MPU11 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPAR) Reset Values
MPU6 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved MPU7 0x03FF_FCB6 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF MPU8 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCBF 0x03FF_FCB6 N/A N/A N/A N/A N/A N/A N/A N/A MPU9 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB6 MPU10 0x03FF_FCB6 0x03FF_FCB6 N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A MPU11 0x03FF_FCB6 0x03FF_FCB0 0x03FF_FCB6 0x03FF_FCB0 0x03FF_FCB0 0x03FF_FCB6 0x03FF_FCB6 0x03FF_FCB0
Table 5-20
Register PROG0_MPPAR PROG1_MPPAR PROG2_MPPAR PROG3_MPPAR PROG4_MPPAR PROG5_MPPAR PROG6_MPPAR PROG7_MPPAR PROG8_MPPAR PROG9_MPPAR PROG10_MPPAR PROG11_MPPAR PROG12_MPPAR PROG13_MPPAR PROG14_MPPAR PROG15_MPPAR
Table 5-21
Register PROG0_MPPAR PROG1_MPPAR PROG2_MPPAR PROG3_MPPAR PROG4_MPPAR PROG5_MPPAR PROG6_MPPAR PROG7_MPPAR PROG8_MPPAR PROG9_MPPAR PROG10_MPPAR PROG11_MPPAR PROG12_MPPAR PROG13_MPPAR PROG14_MPPAR PROG15_MPPAR
MPU12-MPU14 Programmable Range n Memory Protection Page Attribute Register (PROGn_MPPAR) Reset Values
MPU12 0x03FF_FCB6 0x03FF_FCBF Reserved N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A MPU13 0x03FF_FCB6 0x03FF_FCBF Reserved N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A MPU14 0x03FF_FCB6 0x03FF_FCBF Reserved N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A N/A
109
PRODUCT PREVIEW
0x03FF_FCB0
5.3 Interrupts
This section discusses the interrupt sources, controller, and topology. Also provided are tables describing the interrupt events. 5.3.1 Interrupt Sources and Interrupt Controller The CPU interrupts on the TCI6636K2H device are configured through the C66x CorePac Interrupt Controller. The Interrupt Controller allows for up to 128 system events to be programmed to any of the 12 CPU interrupt inputs (CPUINT4 - CPUINT15), the CPU exception input (EXCEP), or the advanced emulation logic. The 128 system events consist of both internally-generated events (within the CorePac) and chip-level events. Additional system events are routed to each of the C66x CorePacs to provide chip-level events that are not required as CPU interrupts/exceptions to be routed to the Interrupt Controller as emulation events. In addition, error-class events or infrequently used events are also routed through the system event router to offload the C66x CorePac interrupt selector. This is accomplished through the CorePac Interrupt Controller blocks, CIC[2:0]. This is clocked using CPU/6. The event controllers consist of simple combination logic to provide additional events to each C66x CorePac, ARM GIC (ARM Generic Interrupt Controller) plus the EDMA3CC. CIC0 has 104 event outputs which provides 20 broadcast events and 18 additional events to each of the C66x CorePacs, 0 through 3. Similarly, CIC1 has 104 event outputs which provides 20 broadcast events and 18 additional events to each of the C66x CorePacs, 4 through 7. CIC2 has 103 event outputs which provides 8, 20, 8, 8, 8, and 16 events to EDMA3CC0, EDMA3CC1, EDMA3C2, EDMA3CC3, EDMA3CC4, and HyperLinks respectively. The events that are routed to the C66x CorePacs for Advanced Event Triggering (AET) purposes from those EDMA3CC and FSYNC events that are not otherwise provided to each C66x CorePac. Modules such as FFTC, TCP3d, TAC, AIF, CP_MPU, BOOT_CFG, and CP_Tracer have level interrupts and EOI handshaking interface. The EOI value is 0 for TCP3d_x, TAC, AIF, CP_MPU, BOOT_CFG, and CP_Tracer. For FFTC: the EOI value is 0 for FFTC_x_INTD_INTR0, the EOI value is 1 for FFTC_x_INTD_INTR1, the EOI value is 2 for FFTC_x_INTD_INTR2 the EOI value is 3 for FFTC_x_INTD_INTR3 (where FFTC_x can be FFTC_0, FFTC_1, FFTC_2 or FFTC_3)
PRODUCT PREVIEW
110
SPRS835DAugust 2013
32 QMSS lo Events 19 Unique Primary Events 18 Secondary Events 20 Broadcast Events from CIC0 19 Unique Primary Events 18 Secondary Events C66x CorePac4 C66x CorePac3
12 Reserved Events
9 QMSS q_pend Events 19 Unique Primary Events 32 QMSS_lo Events 18 Secondary Events 20 Broadcast Events from CIC1 8 Shared Events 39 QMSS q_pend Events 24 2 Primary Events 8 2 Secondary Events 56 Primary Events 8 Secondary Events 44 Primary Events 20 Secondary Events
CIC2
C66x CorePac7
HyperLink EDMA3 CC0 EDMA3 CC1 EDMA3 CC2 EDMA3 CC3 EDMA3 CC4 ARM INTC
32 QMSS_1 hi Events
32 QMSS_2 hi Events
56 Primary Events 8 Secondary Events 56 Primary Events 8 Secondary Events 56 Primary Events
19 Reserved Events
6636-38
8 Secondary Events
36
Peripherals
111
PRODUCT PREVIEW
C66x CorePac2
PRODUCT PREVIEW
112
SPRS835DAugust 2013 System Event Mapping C66x CorePac Primary Interrupts (Part 2 of 3)
Event Name CIC_OUT14_PLUS_16_MUL_N CIC_OUT15_PLUS_16_MUL_N CIC_OUT64_PLUS_10_MUL_N CIC_OUT65_PLUS_10_MUL_N CIC_OUT66_PLUS_10_MUL_N QMSS_INTD_1_HIGH_N QMSS_INTD_1_HIGH_8_PLUS_N QMSS_INTD_1_HIGH_16_PLUS_N QMSS_INTD_1_HIGH_24_PLUS_N QMSS_INTD_2_HIGH_N QMSS_INTD_2_HIGH_8_PLUS_N QMSS_INTD_2_HIGH_16_PLUS_N QMSS_INTD_2_HIGH_24_PLUS_N CIC_OUT0 CIC_OUT1 CIC_OUT2 CIC_OUT3 CIC_OUT4 CIC_OUT5 CIC_OUT6 CIC_OUT7 TIMER_N_INTL TIMER_N_INTH TIMER_8_INTL TIMER_8_INTH TIMER_9_INTL TIMER_9_INTH TIMER_10_INTL TIMER_10_INTH TIMER_11_INTL TIMER_11_INTH CIC_OUT8_PLUS_16_MUL_N CIC_OUT9_PLUS_16_MUL_N CIC_OUT10_PLUS_16_MUL_N CIC_OUT11_PLUS_16_MUL_N TIMER_14_INTL TIMER_14_INTH TIMER_15_INTL TIMER_15_INTH GPIO_INT8 GPIO_INT9 GPIO_INT10 GPIO_INT11 GPIO_INT12 Description CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output
(1) (1) (1) (1) (1)
Table 5-22
Event No. 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86
Navigator 1 accumulated hi-priority interrupt 0 Navigator 1 accumulated hi-priority interrupt 8 Navigator 1 accumulated hi-priority interrupt 16 Navigator 1 accumulated hi-priority interrupt 24
Navigator 2 accumulated hi-priority interrupt 8 Navigator 2 accumulated hi-priority interrupt 16 Navigator 2 accumulated hi-priority interrupt 24 CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output Local timer interrupt low Local timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Local GPIO interrupt Local GPIO interrupt Local GPIO interrupt Local GPIO interrupt Local GPIO interrupt
(1) (1) (1) (1) (1) (1) (1) (1) (1) (1) (1) (1)
113
PRODUCT PREVIEW
Dropped C66x CorePac interrupt event Invalid IDMA parameters Reserved CIC Interrupt Controller output EFI interrupt from Side A EFI interrupt from Side B Local GPIO interrupt Local GPIO interrupt Local GPIO interrupt Boot CFG GPIO interrupt CIC Interrupt Controller output CIC Interrupt Controller output CIC Interrupt Controller output DMA internal bus error event Reserved EDMA3CC0_4 AET event Single bit error detected during DMA read EDMA3CC1_2 AET event EDMA3CC3_4 AET event Corrected bit error detected Uncorrected bit error detected Power down sleep interrupt SYS CPU MP fault event CPU memory protection fault DMA memory protection fault CPU memory protection fault DMA memory protection fault CPU memory protection fault DMA memory protection fault CPU memory protection fault Bus error interrupt
(1) (1) (1)
PRODUCT PREVIEW
114
SPRS835DAugust 2013
Boot config IPCG Boot config IPCG Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Memory protection fault indicators for system master PrivID = 8 Memory protection fault indicators for system master PrivID = 9 Memory protection fault indicators for system master PrivID = 10 Memory protection fault indicators for system master PrivID = 11 ARM performance monitoring unit interrupt request ARM performance monitoring unit interrupt request ARM performance monitoring unit interrupt request ARM performance monitoring unit interrupt request ARM internal memory ECC error interrupt request ARM bus error interrupt request PCIE legacy INTA interrupt PCIE legacy INTB interrupt PCIE legacy INTC interrupt PCIE legacy INTD interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE error interrupt PCIE power management interrupt
115
PRODUCT PREVIEW
PRODUCT PREVIEW
116
SPRS835DAugust 2013 System Event Mapping ARM CorePac Interrupts (Part 3 of 12)
Event Name TIMER_2_INTL TIMER_2_INTH TIMER_3_INTL TIMER_3_INTH TIMER_4_INTL TIMER_4_INTH TIMER_5_INTL TIMER_5_INTH TIMER_6_INTL TIMER_6_INTH TIMER_7_INTL TIMER_7_INTH TIMER_8_INTL TIMER_8_INTH TIMER_9_INTL TIMER_9_INTH TIMER_10_INTL TIMER_10_INTH TIMER_11_INTL TIMER_11_INTH TIMER_12_INTL TIMER_12_INTH TIMER_13_INTL TIMER_13_INTH TIMER_14_INTL TIMER_14_INTH TIMER_15_INTL TIMER_15_INTH TIMER_16_INTL TIMER_16_INTH TIMER_17_INTL TIMER_17_INTH TIMER_18_INTL TIMER_18_INTH TIMER_19_INTL TIMER_19_INTH GPIO_INT0 GPIO_INT1 GPIO_INT2 GPIO_INT3 GPIO_INT4 GPIO_INT5 GPIO_INT6 GPIO_INT7 Description Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low
Table 5-23
Event No. 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127
Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt
117
PRODUCT PREVIEW
PRODUCT PREVIEW
118
SPRS835DAugust 2013 System Event Mapping ARM CorePac Interrupts (Part 5 of 12)
Event Name SRIO_INT20 SRIO_INT21 SRIO_INT22 SRIO_INT23 SRIO_INT_PKTDMA_0 QMSS_INTD_1_PKTDMA_0 QMSS_INTD_1_PKTDMA_1 QMSS_INTD_1_HIGH_0 QMSS_INTD_1_HIGH_1 QMSS_INTD_1_HIGH_2 QMSS_INTD_1_HIGH_3 QMSS_INTD_1_HIGH_4 QMSS_INTD_1_HIGH_5 QMSS_INTD_1_HIGH_6 QMSS_INTD_1_HIGH_7 QMSS_INTD_1_HIGH_8 QMSS_INTD_1_HIGH_9 QMSS_INTD_1_HIGH_10 QMSS_INTD_1_HIGH_11 QMSS_INTD_1_HIGH_12 QMSS_INTD_1_HIGH_13 QMSS_INTD_1_HIGH_14 QMSS_INTD_1_HIGH_15 QMSS_INTD_1_HIGH_16 QMSS_INTD_1_HIGH_17 QMSS_INTD_1_HIGH_18 QMSS_INTD_1_HIGH_19 QMSS_INTD_1_HIGH_20 QMSS_INTD_1_HIGH_21 QMSS_INTD_1_HIGH_22 QMSS_INTD_1_HIGH_23 QMSS_INTD_1_HIGH_24 QMSS_INTD_1_HIGH_25 QMSS_INTD_1_HIGH_26 QMSS_INTD_1_HIGH_27 QMSS_INTD_1_HIGH_28 QMSS_INTD_1_HIGH_29 QMSS_INTD_1_HIGH_30 QMSS_INTD_1_HIGH_31 QMSS_INTD_1_LOW_0 QMSS_INTD_1_LOW_1 QMSS_INTD_1_LOW_2 QMSS_INTD_1_LOW_3 QMSS_INTD_1_LOW_4 Description SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt for Packet DMA starvation Navigator interrupt for Packet DMA starvation Navigator interrupt for Packet DMA starvation Navigator hi interrupt Navigator hi interrupt
Table 5-23
Event No. 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215
Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator interrupt Navigator interrupt Navigator interrupt Navigator interrupt Navigator interrupt
119
PRODUCT PREVIEW
Navigator hi interrupt
PRODUCT PREVIEW
120
SPRS835DAugust 2013 System Event Mapping ARM CorePac Interrupts (Part 7 of 12)
Event Name QMSS_INTD_2_HIGH_31 QMSS_INTD_2_LOW_0 QMSS_INTD_2_LOW_1 QMSS_INTD_2_LOW_2 QMSS_INTD_2_LOW_3 QMSS_INTD_2_LOW_4 QMSS_INTD_2_LOW_5 QMSS_INTD_2_LOW_6 QMSS_INTD_2_LOW_7 QMSS_INTD_2_LOW_8 QMSS_INTD_2_LOW_9 QMSS_INTD_2_LOW_10 QMSS_INTD_2_LOW_11 QMSS_INTD_2_LOW_12 QMSS_INTD_2_LOW_13 QMSS_INTD_2_LOW_14 QMSS_INTD_2_LOW_15 UART_0_UARTINT UART_0_URXEVT UART_0_UTXEVT UART_1_UARTINT UART_1_URXEVT UART_1_UTXEVT I2C_0_INT I2C_0_REVT I2C_0_XEVT I2C_1_INT I2C_1_REVT I2C_1_XEVT I2C_2_INT I2C_2_REVT I2C_2_XEVT SPI_0_INT0 SPI_0_INT1 SPI_0_XEVT SPI_0_REVT SPI_1_INT0 SPI_1_INT1 SPI_1_XEVT SPI_1_REVT SPI_2_INT0 SPI_2_INT1 SPI_2_XEVT SPI_2_REVT Description Navigator second hi interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt
Table 5-23
Event No. 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303
Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt Navigator second interrupt UART0 interrupt UART0 receive event UART0 transmit event UART1 interrupt UART1 receive event UART1 transmit event I2C interrupt I2C receive event I2C transmit event I2C interrupt I2C receive event I2C transmit event I2C interrupt I2C receive event I2C transmit event SPI interrupt SPI interrupt SPI DMA TX event SPI DMA RX event SPI interrupt SPI interrupt SPI DMA TX event SPI DMA RX event SPI interrupt SPI interrupt SPI DMA TX event SPI DMA RX event
121
PRODUCT PREVIEW
PRODUCT PREVIEW
122
SPRS835DAugust 2013 System Event Mapping ARM CorePac Interrupts (Part 9 of 12)
Event Name EDMACC_3_TC_6_INT EDMACC_3_TC_7_INT EDMACC_4_GINT EDMACC_4_TC_0_INT EDMACC_4_TC_1_INT EDMACC_4_TC_2_INT EDMACC_4_TC_3_INT EDMACC_4_TC_4_INT EDMACC_4_TC_5_INT EDMACC_4_TC_6_INT EDMACC_4_TC_7_INT SR_0_PO_VCON_SMPSERR_INT SR_0_SMARTREFLEX_INTREQ0 SR_0_SMARTREFLEX_INTREQ1 SR_0_SMARTREFLEX_INTREQ2 SR_0_SMARTREFLEX_INTREQ3 SR_0_VPNOSMPSACK SR_0_VPEQVALUE SR_0_VPMAXVDD SR_0_VPMINVDD SR_0_VPINIDLE SR_0_VPOPPCHANGEDONE SR_0_VPSMPSACK SR_0_SR_TEMPSENSOR SR_0_SR_TIMERINT SR_1_PO_VCON_SMPSERR_INT SR_1_SMARTREFLEX_INTREQ0 SR_1_SMARTREFLEX_INTREQ1 SR_1_SMARTREFLEX_INTREQ2 SR_1_SMARTREFLEX_INTREQ3 SR_1_VPNOSMPSACK SR_1_VPEQVALUE SR_1_VPMAXVDD SR_1_VPMINVDD SR_1_VPINIDLE SR_1_VPOPPCHANGEDONE SR_1_VPSMPSACK SR_1_SR_TEMPSENSOR SR_1_SR_TIMERINT HyperLink_0_INT HyperLink_1_INT Description EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt EDMA3CC4 global completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt
Table 5-23
Event No. 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388
EDMA3CC4 individual completion interrupt SmartReflex SMPS Error interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex VPVOLTUPDATE has been asserted but SMPS has not been responded to in a defined time interval SmartReflex SRSINTERUPT is asserted, but the new voltage is not different from the current SMPS voltage SmartReflex The new voltage required is equal to or greater than MaxVdd SmartReflex The new voltage required is equal to or less than MinVdd SmartReflex. Indicating that the FSM of voltage processor is in idle SmartReflex Indicating that the average frequency error is within the desired limit SmartReflex VPVOLTUPDATE asserted and SMPS has acknowledged in a defined time interval SmartReflex temperature threshold crossing interrupt Smart Reflex internal timer expiration interrupt SmartReflex SMPS Error interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex VPVOLTUPDATE has been asserted but SMPS has not been responded to in a defined time interval SmartReflex SRSINTERUPT is asserted, but the new voltage is not different from the current SMPS voltage SmartReflex The new voltage required is equal to or greater than MaxVdd SmartReflex The new voltage required is equal to or less than MinVdd SmartReflex. Indicating that the FSM of voltage processor is in idle SmartReflex Indicating that the average frequency error is within the desired limit SmartReflex VPVOLTUPDATE asserted and SMPS has acknowledged in a defined time interval SmartReflex temperature threshold crossing interrupt Smart Reflex internal timer expiration interrupt HyperLink 0 interrupt HyperLink 1 interrupt
123
PRODUCT PREVIEW
PRODUCT PREVIEW
124
SPRS835DAugust 2013 System Event Mapping ARM CorePac Interrupts (Part 11 of 12)
Event Name Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved CIC_2_OUT29 CIC_2_OUT30 CIC_2_OUT31 CIC_2_OUT32 CIC_2_OUT33 CIC_2_OUT34 CIC_2_OUT35 CIC_2_OUT36 CIC_2_OUT37 CIC_2_OUT38 CIC_2_OUT39 CIC_2_OUT40 CIC_2_OUT41 CIC_2_OUT42 CIC_2_OUT43 CIC_2_OUT44 CIC_2_OUT45 CIC_2_OUT46 CIC_2_OUT47 CIC_2_OUT18 CIC_2_OUT19 CIC_2_OUT22 CIC_2_OUT23 CIC_2_OUT50 CIC_2_OUT51 CIC_2_OUT66 CIC_2_OUT67 CIC_2_OUT88 CIC_2_OUT89 Description Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved
Table 5-23
Event No. 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474 475 476
Reserved Reserved Reserved Reserved Reserved CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt CIC2 interrupt
125
PRODUCT PREVIEW
Reserved
Table 5-24, Table 5-25 and Table 5-26 list the C66x CorePac Secondary interrupt inputs
Table 5-24
Event No. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34
PRODUCT PREVIEW
126
SPRS835DAugust 2013 CIC0 Event Inputs C66x CorePac Secondary Interrupts (Part 2 of 12)
Event Name EDMACC_0_TC_1_ERRINT EDMACC_0_GINT Reserved EDMACC_0_TC_0_INT EDMACC_0_TC_1_INT EDMACC_0_TC_2_INT EDMACC_0_TC_3_INT EDMACC_0_TC_4_INT EDMACC_0_TC_5_INT EDMACC_0_TC_6_INT EDMACC_0_TC_7_INT Reserved QMSS_QUE_PEND_652 PCIE_INT12 PCIE_INT13 PCIE_INT0 PCIE_INT1 PCIE_INT2 PCIE_INT3 SPI_0_INT0 SPI_0_INT1 SPI_0_XEVT SPI_0_REVT I2C_0_INT I2C_0_REVT I2C_0_XEVT Reserved Reserved DBGTBR_DMAINT MPU_12_INT DBGTBR_ACQCOMP MPU_13_INT MPU_14_INT NETCP_MDIO_LINK_INT0 NETCP_MDIO_LINK_INT1 NETCP_MDIO_USER_INT0 NETCP_MDIO_USER_INT1 NETCP_MISC_INT TRACER_CORE_0_INT TRACER_CORE_1_INT TRACER_CORE_2_INT TRACER_CORE_3_INT TRACER_DDR_INT TRACER_MSMC_0_INT Description EDMA3CC0 TPTC1 error interrupt EDMA3CC0 global completion interrupt Reserved EDMA3CC0 individual completion interrupt EDMA3CC0 individual completion interrupt EDMA3CC0 individual completion interrupt EDMA3CC0 individual completion interrupt EDMA3CC0 individual completion interrupt EDMA3CC0 individual completion interrupt
Table 5-24
Event No. 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78
EDMA3CC0 individual completion interrupt Reserved Navigator transmit queue pending event for indicated queue PCIE protocol error interrupt PCIE power management interrupt PCIE legacy INTA interrupt PCIE legacy INTB interrupt PCIE legacy INTC interrupt PCIE legacy INTD interrupt SPI0 interrupt0 SPI0 interrupt1 SPI0 transmit event SPI0 receive event I2C0 interrupt I2C0 receive event I2C0 transmit event Reserved Reserved Debug trace buffer (TBR) DMA event MPU12 addressing violation interrupt and protection violation interrupt Debug trace buffer (TBR) acquisition has been completed MPU13 addressing violation interrupt and protection violation interrupt MPU14 addressing violation interrupt and protection violation interrupt Packet Accelerator 0 subsystem MDIO interrupt Packet Accelerator 0 subsystem MDIO interrupt Packet Accelerator 0 subsystem MDIO interrupt Packet Accelerator 0 subsystem MDIO interrupt Packet Accelerator 0 subsystem misc interrupt Tracer sliding time window interrupt for DSP0 L2 Tracer sliding time window interrupt for DSP1 L2 Tracer sliding time window interrupt for DSP2 L2 Tracer sliding time window interrupt for DSP3 L2 Tracer sliding time window interrupt for MSMC-DDR3A Tracer sliding time window interrupt for MSMC SRAM bank0
127
PRODUCT PREVIEW
PRODUCT PREVIEW
128
SPRS835DAugust 2013 CIC0 Event Inputs C66x CorePac Secondary Interrupts (Part 4 of 12)
Event Name SRIO_INTDST11 SRIO_INTDST12 SRIO_INTDST13 SRIO_INTDST14 SRIO_INTDST15 AEMIF_EASYNCERR TRACER_CORE_4_INT TRACER_CORE_5_INT TRACER_CORE_6_INT TRACER_CORE_7_INT QMSS_INTD_1_PKTDMA_0 QMSS_INTD_1_PKTDMA_1 SRIO_INT_PKTDMA_0 NETCP_PKTDMA_INT0 SR_0_SMARTREFLEX_INTREQ0 SR_0_SMARTREFLEX_INTREQ1 SR_0_SMARTREFLEX_INTREQ2 SR_0_SMARTREFLEX_INTREQ3 SR_0_VPNOSMPSACK SR_0_VPEQVALUE SR_0_VPMAXVDD SR_0_VPMINVDD SR_0_VPINIDLE SR_0_VPOPPCHANGEDONE Reserved UART_0_UARTINT UART_0_URXEVT UART_0_UTXEVT QMSS_QUE_PEND_657 QMSS_QUE_PEND_658 QMSS_QUE_PEND_659 QMSS_QUE_PEND_660 QMSS_QUE_PEND_661 QMSS_QUE_PEND_662 QMSS_QUE_PEND_663 QMSS_QUE_PEND_664 QMSS_QUE_PEND_665 SR_0_VPSMPSACK ARM_TBR_DMA ARM_TBR_ACQ ARM_NINTERRIRQ ARM_NAXIERRIRQ SR_0_SR_TEMPSENSOR Description SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt Asynchronous EMIF16 error interrupt Tracer sliding time window interrupt for DSP4 L2 Tracer sliding time window interrupt for DSP5 L2 Tracer sliding time window interrupt for DSP6 L2
Table 5-24
Event No. 123 124 125 126 127 128 129 130 131 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165
Navigator interrupt for Packet DMA starvation Navigator interrupt for Packet DMA starvation IPC interrupt generation Packet Accelerator0 Packet DMA starvation interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex controller interrupt SmartReflex VPVOLTUPDATE has been asserted but SMPS has not been responded to in a defined time interval SmartReflex SRSINTERUPT is asserted, but the new voltage is not different from the current SMPS voltage SmartReflex. The new voltage required is equal to or greater than MaxVdd SmartReflex. The new voltage required is equal to or less than MinVdd SmartReflex indicating that the FSM of voltage processor is in idle SmartReflex indicating that the average frequency error is within the desired limit Reserved UART0 interrupt UART0 receive event UART0 transmit event Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue SmartReflex VPVOLTUPDATE asserted and SMPS has acknowledged in a defined time interval ARM trace buffer (TBR) DMA event ARM trace buffer (TBR) acquisition has been completed ARM internal memory ECC error interrupt request ARM bus error interrupt request SmartReflex temperature threshold crossing interrupt
129
PRODUCT PREVIEW
PRODUCT PREVIEW
130
SPRS835DAugust 2013 CIC0 Event Inputs C66x CorePac Secondary Interrupts (Part 6 of 12)
Event Name EDMACC_4_TC_1_ERRINT EDMACC_4_GINT EDMACC_4_TC_0_INT EDMACC_4_TC_1_INT EDMACC_4_TC_2_INT EDMACC_4_TC_3_INT EDMACC_4_TC_4_INT EDMACC_4_TC_5_INT EDMACC_4_TC_6_INT EDMACC_4_TC_7_INT EDMACC_3_ERRINT EDMACC_3_MPINT EDMACC_3_TC_0_ERRINT EDMACC_3_TC_1_ERRINT EDMACC_3_GINT EDMACC_3_TC_0_INT EDMACC_3_TC_1_INT EDMACC_3_TC_2_INT EDMACC_3_TC_3_INT EDMACC_3_TC_4_INT EDMACC_3_TC_5_INT EDMACC_3_TC_6_INT EDMACC_3_TC_7_INT UART_1_UARTINT UART_1_URXEVT UART_1_UTXEVT I2C_1_INT I2C_1_REVT I2C_1_XEVT SPI_1_INT0 SPI_1_INT1 SPI_1_XEVT SPI_1_REVT MPU_5_INT MPU_8_INT MPU_9_INT MPU_11_INT MPU_4_INT MPU_6_INT MPU_7_INT MPU_10_INT SPI_2_INT0 SPI_2_INT1 SPI_2_XEVT Description EDMA3CC4 TPTC1 error interrupt EDMA3CC4 GINT EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt EDMA3CC4 individual completion interrupt
Table 5-24
Event No. 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253
EDMA3CC3 error interrupt EDMA3CC3 memory protection interrupt EDMA3CC3 TPTC0 error interrupt EDMA3CC3 TPTC1 error interrupt EDMA3CC3 GINT EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt EDMA3CC3 individual completion interrupt UART1 interrupt UART1 receive event UART1 transmit event I2C1 interrupt I2C1 receive event I2C1 transmit event SPI1 interrupt0 SPI1 interrupt1 SPI1 transmit event SPI1 receive event MPU5 addressing violation interrupt and protection violation interrupt MPU8 addressing violation interrupt and protection violation interrupt MPU9 addressing violation interrupt and protection violation interrupt MPU11 addressing violation interrupt and protection violation interrupt MPU4 addressing violation interrupt and protection violation interrupt MPU6 addressing violation interrupt and protection violation interrupt MPU7 addressing violation interrupt and protection violation interrupt MPU10 addressing violation interrupt and protection violation interrupt SPI2 interrupt0 SPI2 interrupt1 SPI2 transmit event
131
PRODUCT PREVIEW
PRODUCT PREVIEW
132
SPRS835DAugust 2013 CIC0 Event Inputs C66x CorePac Secondary Interrupts (Part 8 of 12)
Event Name QMSS_QUE_PEND_8844 QMSS_QUE_PEND_8845 QMSS_QUE_PEND_8846 QMSS_QUE_PEND_8847 QMSS_QUE_PEND_8848 QMSS_QUE_PEND_8849 QMSS_QUE_PEND_8850 QMSS_QUE_PEND_8851 QMSS_QUE_PEND_8852 QMSS_QUE_PEND_8853 QMSS_QUE_PEND_8854 QMSS_QUE_PEND_8855 QMSS_QUE_PEND_8856 QMSS_QUE_PEND_8857 QMSS_QUE_PEND_8858 QMSS_QUE_PEND_8859 QMSS_QUE_PEND_8860 QMSS_QUE_PEND_8861 QMSS_QUE_PEND_8862 QMSS_QUE_PEND_8863 QMSS_INTD_2_PKTDMA_0 QMSS_INTD_2_PKTDMA_1 QMSS_INTD_1_LOW_0 QMSS_INTD_1_LOW_1 QMSS_INTD_1_LOW_2 QMSS_INTD_1_LOW_3 QMSS_INTD_1_LOW_4 QMSS_INTD_1_LOW_5 QMSS_INTD_1_LOW_6 QMSS_INTD_1_LOW_7 QMSS_INTD_1_LOW_8 QMSS_INTD_1_LOW_9 QMSS_INTD_1_LOW_10 QMSS_INTD_1_LOW_11 QMSS_INTD_1_LOW_12 QMSS_INTD_1_LOW_13 QMSS_INTD_1_LOW_14 QMSS_INTD_1_LOW_15 QMSS_INTD_2_LOW_0 QMSS_INTD_2_LOW_1 QMSS_INTD_2_LOW_2 QMSS_INTD_2_LOW_3 QMSS_INTD_2_LOW_4 QMSS_INTD_2_LOW_5 Description Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue
Table 5-24
Event No. 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341
Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator ECC error interrupt Navigator ECC error interrupt Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low
133
PRODUCT PREVIEW
PRODUCT PREVIEW
134
SPRS835DAugust 2013 CIC0 Event Inputs C66x CorePac Secondary Interrupts (Part 10 of 12)
Event Name VCP2_1_REVT2 VCP2_1_XEVT2 VCP2_1_REVT3 VCP2_1_XEVT3 FFTC_0_INT0 FFTC_0_INT1 FFTC_0_INT2 FFTC_0_INT3 FFTC_1_INT0 FFTC_1_INT1 FFTC_1_INT2 FFTC_1_INT3 FFTC_2_INT0 FFTC_2_INT1 FFTC_2_INT2 FFTC_2_INT3 FFTC_3_INT0 FFTC_3_INT1 FFTC_3_INT2 FFTC_3_INT3 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved AIF_ATEVT16 AIF_ATEVT17 AIF_ATEVT18 AIF_ATEVT19 AIF_ATEVT20 AIF_ATEVT21 AIF_ATEVT22 AIF_ATEVT23 USB_INT00 USB_INT04 USB_INT05 USB_INT06 USB_INT07 USB_INT08 USB_INT09 USB_INT10 Description VCP event VCP event VCP event VCP event FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt
Table 5-24
Event No. 386 387 388 389 390 391 392 393 394 395 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429
FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event USB interrupt USB interrupt USB interrupt USB interrupt USB interrupt USB interrupt USB interrupt USB interrupt
135
PRODUCT PREVIEW
FFTC interrupt
PRODUCT PREVIEW
136
SPRS835DAugust 2013 CIC0 Event Inputs C66x CorePac Secondary Interrupts (Part 12 of 12)
Event Name SEM_ERR12 SEM_ERR13 Description Semaphore error interrupt Semaphore error interrupt
Table 5-24
Event No. 472 473
Table 5-25
Event No. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37
EDMA3CC1 TPTC2 error interrupt EDMA3CC1 TPTC3 error interrupt EDMA3CC1 GINT Reserved EDMA3CC1 individual completion interrupt EDMA3CC1 individual completion interrupt EDMA3CC1 individual completion interrupt EDMA3CC1 individual completion interrupt EDMA3CC1 individual completion interrupt EDMA3CC1 individual completion interrupt EDMA3CC1 individual completion interrupt EDMA3CC1 individual completion interrupt EDMA3CC2 error interrupt EDMA3CC2 memory protection interrupt EDMA3CC2 TPTC0 error interrupt EDMA3CC2 TPTC1 error interrupt EDMA3CC2 TPTC2 error interrupt EDMA3CC2 TPTC3 error interrupt EDMA3CC2 GINT Reserved EDMA3CC2 individual completion interrupt EDMA3CC2 individual completion interrupt EDMA3CC2 individual completion interrupt EDMA3CC2 individual completion interrupt EDMA3CC2 individual completion interrupt EDMA3CC2 individual completion interrupt EDMA3CC2 individual completion interrupt EDMA3CC2 individual completion interrupt EDMA3CC0 error interrupt EDMA3CC0 memory protection interrupt EDMA3CC0 TPTC0 error interrupt EDMA3CC0 TPTC1 error interrupt EDMA3CC0 GINT Reserved
137
PRODUCT PREVIEW
PRODUCT PREVIEW
138
SPRS835DAugust 2013 CIC1 Event Inputs C66x CorePac Secondary Interrupts (Part 3 of 11)
Event Name TRACER_CFG_INT TRACER_QMSS_QM_CFG1_INT TRACER_QMSS_DMA_INT TRACER_SEM_INT PSC_ALLINT MSMC_SCRUB_CERROR BOOTCFG_INT SR_0_PO_VCON_SMPSERR_INT MPU_0_INT QMSS_QUE_PEND_659 MPU_1_INT QMSS_QUE_PEND_660 MPU_2_INT QMSS_QUE_PEND_661 MPU_3_INT QMSS_QUE_PEND_662 MSMC_DEDC_CERROR MSMC_DEDC_NC_ERROR MSMC_SCRUB_NC_ERROR Reserved MSMC_MPF_ERROR8 MSMC_MPF_ERROR9 MSMC_MPF_ERROR10 MSMC_MPF_ERROR11 MSMC_MPF_ERROR12 MSMC_MPF_ERROR13 MSMC_MPF_ERROR14 MSMC_MPF_ERROR15 DDR3_0_ERR HyperLink_0_INT SRIO_INTDST0 SRIO_INTDST1 SRIO_INTDST2 SRIO_INTDST3 SRIO_INTDST4 SRIO_INTDST5 SRIO_INTDST6 SRIO_INTDST7 SRIO_INTDST8 SRIO_INTDST9 SRIO_INTDST10 SRIO_INTDST11 SRIO_INTDST12 SRIO_INTDST13 Description Tracer sliding time window interrupt for CFG0 TeraNet Tracer sliding time window interrupt for Navigator CFG1 slave port Tracer sliding time window interrupt for Navigator DMA internal bus slave port Tracer sliding time window interrupt for Semaphore Power & Sleep Controller interrupt Correctable (1-bit) soft error detected during scrub cycle Chip-level MMR Error Register SmartReflex SMPS error interrupt MPU0 addressing violation interrupt and protection violation interrupt.
Table 5-25
Event No. 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125
MPU1 addressing violation interrupt and protection violation interrupt. Navigator transmit queue pending event for indicated queue MPU2 addressing violation interrupt and protection violation interrupt. Navigator transmit queue pending event for indicated queue MPU3 addressing violation interrupt and protection violation interrupt. Navigator transmit queue pending event for indicated queue Correctable (1-bit) soft error detected on SRAM read Non-correctable (2-bit) soft error detected on SRAM read Non-correctable (2-bit) soft error detected during scrub cycle Reserved Memory protection fault indicators for system master PrivID = 8 Memory protection fault indicators for system master PrivID = 9 Memory protection fault indicators for system master PrivID = 10 Memory protection fault indicators for system master PrivID = 11 Memory protection fault indicators for system master PrivID = 12 Memory protection fault indicators for system master PrivID = 13 Memory protection fault indicators for system master PrivID = 14 Memory protection fault indicators for system master PrivID = 15 DDR3A_EMIF Error interrupt HyperLink 0 interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt
139
PRODUCT PREVIEW
PRODUCT PREVIEW
140
SPRS835DAugust 2013 CIC1 Event Inputs C66x CorePac Secondary Interrupts (Part 5 of 11)
Event Name AIF_ATEVT10 AIF_ATEVT11 AIF_ATEVT12 AIF_ATEVT13 AIF_ATEVT14 AIF_ATEVT15 TIMER_7_INTL TIMER_7_INTH TIMER_6_INTL TIMER_6_INTH TIMER_5_INTL TIMER_5_INTH TIMER_4_INTL TIMER_4_INTH TIMER_3_INTL TIMER_3_INTH TIMER_2_INTL TIMER_2_INTH TIMER_1_INTL TIMER_1_INTH TIMER_0_INTL TIMER_0_INTH TCP3D_0_INT TCP3D_1_INT Reserved Reserved TCP3D_0_REVT0 TCP3D_0_REVT1 TCP3D_1_REVT0 TCP3D_1_REVT1 Reserved Reserved Reserved Reserved TAC_INT TAC_DEVT0 TAC_DEVT1 AIF_INT EDMACC_4_ERRINT EDMACC_4_MPINT EDMACC_4_TC_0_ERRINT EDMACC_4_TC_1_ERRINT EDMACC_4_GINT EDMACC_4_TC_0_INT Description AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event Timer interrupt low Timer interrupt high Timer interrupt low
Table 5-25
Event No. 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212
Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high TCP3d interrupt TCP3d interrupt Reserved Reserved TCP3d event TCP3d event TCP3d event TCP3d event Reserved Reserved Reserved Reserved TAC interrupt TAC debug event TAC debug event AIF interrupt EDMA3CC4 error interrupt EDMA3CC4 memory protection interrupt EDMA3CC4 TPTC0 error interrupt EDMA3CC4 TPTC1 error interrupt EDMA3CC4 GINT EDMA3CC4 individual completion interrupt
141
PRODUCT PREVIEW
PRODUCT PREVIEW
142
SPRS835DAugust 2013 CIC1 Event Inputs C66x CorePac Secondary Interrupts (Part 7 of 11)
Event Name I2C_2_XEVT Reserved Reserved Reserved Reserved Reserved Reserved USIM_PONIRQ USIM_RREQ USIM_WREQ BCP_INT0 BCP_INT1 BCP_INT2 BCP_INT3 RAC_0_TRACE_GCCP0 RAC_0_TRACE_GCCP1 RAC_1_TRACE_GCCP0 RAC_1_TRACE_GCCP1 Reserved Reserved Reserved Reserved TAC_DEVT2 TAC_DEVT3 TAC_DEVT4 TAC_DEVT5 Reserved Reserved Reserved Reserved Reserved Reserved Reserved TRACER_RAC_1_INT TRACER_RAC_FE_INT QMSS_QUE_PEND_652 QMSS_QUE_PEND_653 QMSS_QUE_PEND_654 QMSS_QUE_PEND_655 QMSS_QUE_PEND_656 QMSS_QUE_PEND_657 QMSS_QUE_PEND_8844 QMSS_QUE_PEND_8845 QMSS_QUE_PEND_8846 Description I2C2 transmit event Reserved Reserved Reserved Reserved Reserved Reserved USIM interrupt USIM read DMA event
Table 5-25
Event No. 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300
BCP interrupt BCP interrupt BCP interrupt BCP interrupt RAC trace RAC trace RAC trace RAC trace Reserved Reserved Reserved Reserved TAC debug TAC debug TAC debug TAC debug Reserved Reserved Reserved Reserved Reserved Reserved Reserved Tracer RAC interrupt Tracer RAC interrupt Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue
143
PRODUCT PREVIEW
PRODUCT PREVIEW
144
SPRS835DAugust 2013 CIC1 Event Inputs C66x CorePac Secondary Interrupts (Part 9 of 11)
Event Name QMSS_INTD_2_LOW_9 QMSS_INTD_2_LOW_10 QMSS_INTD_2_LOW_11 QMSS_INTD_2_LOW_12 QMSS_INTD_2_LOW_13 QMSS_INTD_2_LOW_14 QMSS_INTD_2_LOW_15 TRACER_EDMACC_0 TRACER_EDMACC_123_INT TRACER_CIC_INT TRACER_MSMC_4_INT TRACER_MSMC_5_INT TRACER_MSMC_6_INT TRACER_MSMC_7_INT TRACER_SPI_ROM_EMIF_INT TRACER_QMSS_QM_CFG2_INT TRACER_TAC_BE_INT Reserved TRACER_DDR_1_INT TRACER_BCR_INT HyperLink_1_INT VCP2_0_INT0 VCP2_0_INT1 VCP2_0_INT2 VCP2_0_INT3 VCP2_1_INT0 VCP2_1_INT1 VCP2_1_INT2 VCP2_1_INT3 VCP2_0_REVT0 VCP2_0_XEVT0 VCP2_0_REVT1 VCP2_0_XEVT1 VCP2_0_REVT2 VCP2_0_XEVT2 VCP2_0_REVT3 VCP2_0_XEVT3 VCP2_1_REVT0 VCP2_1_XEVT0 VCP2_1_REVT1 VCP2_1_XEVT1 VCP2_1_REVT2 VCP2_1_XEVT2 VCP2_1_REVT3 Description Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Navigator second interrupt low Tracer sliding time window interrupt for EDMA3CC0 Tracer sliding time window interrupt for EDMA3CC1, EDMA3CC2 and EDMA3CC3
Table 5-25
Event No. 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388
Tracer sliding time window interrupt for MSMC SRAM bank4 Tracer sliding time window interrupt for MSMC SRAM bank5 Tracer sliding time window interrupt for MSMC SRAM bank6 Tracer sliding time window interrupt for MSMC SRAM bank7 Tracer sliding time window interrupt for SPI/ROM/EMIF16 modules Tracer sliding time window interrupt for QM2 Tracer TAC interrupt Reserved Tracer sliding time window interrupt for DDR3B Tracer sliding time window interrupt for BCR HyperLink 1 interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event
145
PRODUCT PREVIEW
PRODUCT PREVIEW
146
SPRS835DAugust 2013 CIC1 Event Inputs C66x CorePac Secondary Interrupts (Part 11 of 11)
Event Name TIMER_12_INTL TIMER_12_INTH TIMER_13_INTL TIMER_13_INTH TIMER_14_INTL TIMER_14_INTH TIMER_15_INTL TIMER_15_INTH TIMER_16_INTL TIMER_17_INTL TIMER_18_INTL TIMER_19_INTL DDR3_1_ERR GPIO_INT16 GPIO_INT17 GPIO_INT18 GPIO_INT19 GPIO_INT20 GPIO_INT21 GPIO_INT22 GPIO_INT23 GPIO_INT24 GPIO_INT25 GPIO_INT26 GPIO_INT27 GPIO_INT28 GPIO_INT29 GPIO_INT30 GPIO_INT31 SRIO_INTDST20 SRIO_INTDST21 SRIO_INTDST22 SRIO_INTDST23 PCIE_INT8 PCIE_INT9 PCIE_INT10 PCIE_INT11 SEM_INT12 SEM_INT13 SEM_ERR12 SEM_ERR13 Reserved Description Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low
Table 5-25
Event No. 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474
Timer interrupt low Timer interrupt high DDR3B_EMIF error interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt SRIOI interrupt SRIOI interrupt SRIOI interrupt SRIOI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt PCIE MSI interrupt Semaphore interrupt Semaphore interrupt Semaphore error interrupt Semaphore error interrupt Reserved
147
PRODUCT PREVIEW
CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 1 of 11)
Event Name GPIO_INT8 GPIO_INT9 GPIO_INT10 GPIO_INT11 GPIO_INT12 GPIO_INT13 GPIO_INT14 GPIO_INT15 DBGTBR_DMAINT Reserved Reserved TETB_FULLINT0 TETB_HFULLINT0 TETB_ACQINT0 TETB_FULLINT1 TETB_HFULLINT1 TETB_ACQINT1 TETB_FULLINT2 TETB_HFULLINT2 TETB_ACQINT2 TETB_FULLINT3 TETB_HFULLINT3 TETB_ACQINT3 Reserved QMSS_INTD_1_HIGH_16 QMSS_INTD_1_HIGH_17 QMSS_INTD_1_HIGH_18 QMSS_INTD_1_HIGH_19 QMSS_INTD_1_HIGH_20 QMSS_INTD_1_HIGH_21 QMSS_INTD_1_HIGH_22 QMSS_INTD_1_HIGH_23 QMSS_INTD_1_HIGH_24 QMSS_INTD_1_HIGH_25 QMSS_INTD_1_HIGH_26 QMSS_INTD_1_HIGH_27 QMSS_INTD_1_HIGH_28 QMSS_INTD_1_HIGH_29 QMSS_INTD_1_HIGH_30 QMSS_INTD_1_HIGH_31 NETCP_MDIO_LINK_INT0 NETCP_MDIO_LINK_INT1 NETCP_MDIO_USER_INT0 NETCP_MDIO_USER_INT1 Description GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt Debug trace buffer (TBR) DMA event Reserved Reserved TETB0 is full TETB0 is half full TETB0 acquisition has been completed TETB1 is full TETB1 is half full TETB1 acquisition has been completed TETB2 is full TETB2 is half full TETB2 acquisition has been completed TETB3 is full TETB3 is half full TETB3 acquisition has been completed Reserved Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Packet Accelerator 0 subsystem MDIO interrupt Packet Accelerator 0 subsystem MDIO interrupt Packet Accelerator 0 subsystem MDIO interrupt Packet Accelerator 0 subsystem MDIO interrupt
PRODUCT PREVIEW
148
SPRS835DAugust 2013 CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 2 of 11)
Event Name NETCP_MISC_INT TRACER_CORE_0_INT TRACER_CORE_1_INT TRACER_CORE_2_INT TRACER_CORE_3_INT TRACER_DDR_INT TRACER_MSMC_0_INT TRACER_MSMC_1_INT TRACER_MSMC_2_INT TRACER_MSMC_3_INT TRACER_CFG_INT TRACER_QMSS_QM_CFG1_INT TRACER_QMSS_DMA_INT TRACER_SEM_INT SEM_ERR0 SEM_ERR1 SEM_ERR2 SEM_ERR3 BOOTCFG_INT NETCP_PKTDMA_INT0 MPU_0_INT MSMC_SCRUB_CERROR MPU_1_INT SRIO_INT_PKTDMA_0 MPU_2_INT QMSS_INTD_1_PKTDMA_0 MPU_3_INT QMSS_INTD_1_PKTDMA_1 MSMC_DEDC_CERROR MSMC_DEDC_NC_ERROR MSMC_SCRUB_NC_ERROR Reserved MSMC_MPF_ERROR0 MSMC_MPF_ERROR1 MSMC_MPF_ERROR2 MSMC_MPF_ERROR3 MSMC_MPF_ERROR4 MSMC_MPF_ERROR5 MSMC_MPF_ERROR6 MSMC_MPF_ERROR7 MSMC_MPF_ERROR8 MSMC_MPF_ERROR9 MSMC_MPF_ERROR10 MSMC_MPF_ERROR11 Description Packet Accelerator 0 subsystem MDIO interrupt Tracer sliding time window interrupt for DSP0 L2 Tracer sliding time window interrupt for DSP1 L2 Tracer sliding time window interrupt for DSP2 L2 Tracer sliding time window interrupt for DSP3 L2 Tracer sliding time window interrupt for MSMC-DDR3A Tracer sliding time window interrupt for MSMC SRAM bank0 Tracer sliding time window interrupt for MSMC SRAM bank1 Tracer sliding time window interrupt for MSMC SRAM bank2
Table 5-26
Event No. 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63 64 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87
Tracer sliding time window interrupt for TeraNet CFG Tracer sliding time window interrupt for Navigator CFG1 slave port Tracer sliding time window interrupt for Navigator DMA internal bus slave port Tracer sliding time window interrupt for Semaphore interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt BOOTCFG error interrupt Packet Accelerator0 Packet DMA starvation interrupt MPU0 interrupt MSMC error interrupt MPU1 interrupt Packet Accelerator0 Packet DMA interrupt MPU2 interrupt Navigator Packet DMA interrupt MPU3 interrupt Navigator Packet DMA interrupt MSMC error interrupt MSMC error interrupt MSMC error interrupt Reserved Memory protection fault indicators for system master PrivID = 0 Memory protection fault indicators for system master PrivID = 1 Memory protection fault indicators for system master PrivID = 2 Memory protection fault indicators for system master PrivID = 3 Memory protection fault indicators for system master PrivID = 4 Memory protection fault indicators for system master PrivID = 5 Memory protection fault indicators for system master PrivID = 6 Memory protection fault indicators for system master PrivID = 7 Memory protection fault indicators for system master PrivID = 8 Memory protection fault indicators for system master PrivID = 9 Memory protection fault indicators for system master PrivID = 10 Memory protection fault indicators for system master PrivID = 11
149
PRODUCT PREVIEW
CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 3 of 11)
Event Name MSMC_MPF_ERROR12 MSMC_MPF_ERROR13 MSMC_MPF_ERROR14 MSMC_MPF_ERROR15 Reserved SRIO_INTDST0 SRIO_INTDST1 SRIO_INTDST2 SRIO_INTDST3 SRIO_INTDST4 SRIO_INTDST5 SRIO_INTDST6 SRIO_INTDST7 SRIO_INTDST8 SRIO_INTDST9 SRIO_INTDST10 SRIO_INTDST11 SRIO_INTDST12 SRIO_INTDST13 SRIO_INTDST14 SRIO_INTDST15 SRIO_INTDST16 SRIO_INTDST17 SRIO_INTDST18 SRIO_INTDST19 SRIO_INTDST20 SRIO_INTDST21 SRIO_INTDST22 SRIO_INTDST23 AEMIF_EASYNCERR TETB_FULLINT4 TETB_HFULLINT4 TETB_ACQINT4 TETB_FULLINT5 TETB_HFULLINT5 TETB_ACQINT5 TETB_FULLINT6 TETB_HFULLINT6 TETB_ACQINT6 TETB_FULLINT7 TETB_HFULLINT7 TETB_ACQINT7 TRACER_CORE_4_INT TRACER_CORE_5_INT Description Memory protection fault indicators for system master PrivID = 12 Memory protection fault indicators for system master PrivID = 13 Memory protection fault indicators for system master PrivID = 14 Memory protection fault indicators for system master PrivID = 15 Reserved SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt SRIO interrupt Asynchronous EMIF16 error interrupt TETB4 is full TETB4 is half full TETB4 acquisition has been completed TETB5 is full TETB5 is half full TETB5 acquisition has been completed TETB6 is full TETB6 is half full TETB6 acquisition has been completed TETB7 is full TETB7 is half full TETB7 acquisition has been completed Tracer sliding time window interrupt for DSP4 L2 Tracer sliding time window interrupt for DSP5 L2
PRODUCT PREVIEW
150
SPRS835DAugust 2013 CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 4 of 11)
Event Name TRACER_CORE_6_INT TRACER_CORE_7_INT SEM_ERR4 SEM_ERR5 SEM_ERR6 SEM_ERR7 QMSS_INTD_1_HIGH_0 QMSS_INTD_1_HIGH_1 QMSS_INTD_1_HIGH_2 QMSS_INTD_1_HIGH_3 QMSS_INTD_1_HIGH_4 QMSS_INTD_1_HIGH_5 QMSS_INTD_1_HIGH_6 QMSS_INTD_1_HIGH_7 QMSS_INTD_1_HIGH_8 QMSS_INTD_1_HIGH_9 QMSS_INTD_1_HIGH_10 QMSS_INTD_1_HIGH_11 QMSS_INTD_1_HIGH_12 QMSS_INTD_1_HIGH_13 QMSS_INTD_1_HIGH_14 QMSS_INTD_1_HIGH_15 QMSS_INTD_2_HIGH_0 QMSS_INTD_2_HIGH_1 QMSS_INTD_2_HIGH_2 QMSS_INTD_2_HIGH_3 QMSS_INTD_2_HIGH_4 QMSS_INTD_2_HIGH_5 QMSS_INTD_2_HIGH_6 QMSS_INTD_2_HIGH_7 QMSS_INTD_2_HIGH_8 QMSS_INTD_2_HIGH_9 QMSS_INTD_2_HIGH_10 QMSS_INTD_2_HIGH_11 QMSS_INTD_2_HIGH_12 QMSS_INTD_2_HIGH_13 QMSS_INTD_2_HIGH_14 QMSS_INTD_2_HIGH_15 QMSS_INTD_2_HIGH_16 QMSS_INTD_2_HIGH_17 QMSS_INTD_2_HIGH_18 QMSS_INTD_2_HIGH_19 QMSS_INTD_2_HIGH_20 QMSS_INTD_2_HIGH_21 Description Tracer sliding time window interrupt for DSP6 L2 Tracer sliding time window interrupt for DSP7 L2 Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt
Table 5-26
Event No. 132 133 134 135 136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175
Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt
151
PRODUCT PREVIEW
Navigator hi interrupt
CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 5 of 11)
Event Name QMSS_INTD_2_HIGH_22 QMSS_INTD_2_HIGH_23 QMSS_INTD_2_HIGH_24 QMSS_INTD_2_HIGH_25 QMSS_INTD_2_HIGH_26 QMSS_INTD_2_HIGH_27 QMSS_INTD_2_HIGH_28 QMSS_INTD_2_HIGH_29 QMSS_INTD_2_HIGH_30 QMSS_INTD_2_HIGH_31 MPU_12_INT MPU_13_INT MPU_14_INT Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved TRACER_QMSS_QM_CFG2_INT TRACER_EDMACC_0 TRACER_EDMACC_123_INT TRACER_CIC_INT MPU_4_INT MPU_5_INT MPU_6_INT MPU_7_INT MPU_8_INT QMSS_INTD_2_PKTDMA_0 QMSS_INTD_2_PKTDMA_1 SR_0_VPSMPSACK DDR3_0_ERR HyperLink_0_INT EDMACC_0_ERRINT EDMACC_0_MPINT EDMACC_0_TC_0_ERRINT EDMACC_0_TC_1_ERRINT EDMACC_1_ERRINT EDMACC_1_MPINT EDMACC_1_TC_0_ERRINT Description Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt Navigator second hi interrupt MPU12 addressing violation interrupt and protection violation interrupt MPU13 addressing violation interrupt and protection violation interrupt MPU14 addressing violation interrupt and protection violation interrupt Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved Tracer sliding time window interrupt for Navigator CFG2 slave port Tracer sliding time window interrupt foR EDMA3CC0 Tracer sliding time window interrupt for EDMA3CC1, EDMA3CC2 and EDMA3CC3 Tracer sliding time window interrupt for interrupt controllers (CIC) MPU4 addressing violation interrupt and protection violation interrupt MPU5 addressing violation interrupt and protection violation interrupt MPU6 addressing violation interrupt and protection violation interrupt MPU7 addressing violation interrupt and protection violation interrupt MPU8 addressing violation interrupt and protection violation interrupt Navigator ECC error interrupt Navigator ECC error interrupt SmartReflex VPVOLTUPDATE asserted and SMPS has acknowledged in a defined time interval DDR3A error interrupt HyperLink 0 interrupt EDMA3CC0 error interrupt EDMA3CC0 memory protection interrupt EDMA3CC0 TPTC0 error interrupt EDMA3CC0 TPTC1 error interrupt EDMA3CC1 error interrupt EDMA3CC1 memory protection interrupt EDMA3CC1 TPTC0 error interrupt
PRODUCT PREVIEW
152
SPRS835DAugust 2013 CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 6 of 11)
Event Name EDMACC_1_TC_1_ERRINT EDMACC_1_TC_2_ERRINT EDMACC_1_TC_3_ERRINT EDMACC_2_ERRINT EDMACC_2_MPINT EDMACC_2_TC_0_ERRINT EDMACC_2_TC_1_ERRINT EDMACC_2_TC_2_ERRINT EDMACC_2_TC_3_ERRINT EDMACC_3_ERRINT EDMACC_3_MPINT EDMACC_3_TC_0_ERRINT EDMACC_3_TC_1_ERRINT EDMACC_4_ERRINT EDMACC_4_MPINT EDMACC_4_TC_0_ERRINT EDMACC_4_TC_1_ERRINT QMSS_QUE_PEND_652 QMSS_QUE_PEND_653 QMSS_QUE_PEND_654 QMSS_QUE_PEND_655 QMSS_QUE_PEND_656 QMSS_QUE_PEND_657 QMSS_QUE_PEND_658 QMSS_QUE_PEND_659 QMSS_QUE_PEND_660 QMSS_QUE_PEND_661 QMSS_QUE_PEND_662 QMSS_QUE_PEND_663 QMSS_QUE_PEND_664 QMSS_QUE_PEND_665 QMSS_QUE_PEND_666 QMSS_QUE_PEND_667 QMSS_QUE_PEND_668 QMSS_QUE_PEND_669 QMSS_QUE_PEND_670 QMSS_QUE_PEND_671 QMSS_QUE_PEND_8844 QMSS_QUE_PEND_8845 QMSS_QUE_PEND_8846 QMSS_QUE_PEND_8847 QMSS_QUE_PEND_8848 QMSS_QUE_PEND_8849 QMSS_QUE_PEND_8850 Description EDMA3CC1 TPTC1 error interrupt EDMA3CC1 TPTC2 error interrupt EDMA3CC1 TPTC3 error interrupt EDMA3CC2 error interrupt EDMA3CC2 memory protection interrupt EDMA3CC2 TPTC0 error interrupt EDMA3CC2 TPTC1 error interrupt EDMA3CC2 TPTC2 error interrupt EDMA3CC2 TPTC3 error interrupt
Table 5-26
Event No. 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263
EDMA3CC3 memory protection interrupt EDMA3CC3 TPTC0 error interrupt EDMA3CC3 TPTC1 error interrupt EDMA3CC4 error interrupt EDMA3CC4 memory protection interrupt EDMA3CC4 TPTC0 error interrupt EDMA3CC4 TPTC1 error interrupt Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue
153
PRODUCT PREVIEW
CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 7 of 11)
Event Name QMSS_QUE_PEND_8851 QMSS_QUE_PEND_8852 QMSS_QUE_PEND_8853 QMSS_QUE_PEND_8854 QMSS_QUE_PEND_8855 QMSS_QUE_PEND_8856 QMSS_QUE_PEND_8857 QMSS_QUE_PEND_8858 QMSS_QUE_PEND_8859 QMSS_QUE_PEND_8860 QMSS_QUE_PEND_8861 QMSS_QUE_PEND_8862 QMSS_QUE_PEND_8863 Reserved Reserved Reserved Reserved Reserved Reserved SEM_INT0 SEM_INT1 SEM_INT2 SEM_INT3 SEM_INT4 SEM_INT5 SEM_INT6 SEM_INT7 SEM_INT8 SEM_INT9 SEM_INT10 SEM_INT11 SEM_INT12 SEM_INT13 SEM_INT14 SEM_INT15 SEM_ERR8 SEM_ERR9 SEM_ERR10 SEM_ERR11 SEM_ERR12 SEM_ERR13 SEM_ERR14 SEM_ERR15 DDR3_1_ERR Description Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Navigator transmit queue pending event for indicated queue Reserved Reserved Reserved Reserved Reserved Reserved Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt Semaphore error interrupt DDR3B error interrupt
PRODUCT PREVIEW
154
SPRS835DAugust 2013 CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 8 of 11)
Event Name HyperLink_1_INT FFTC_0_INT0 FFTC_0_INT1 FFTC_0_INT2 FFTC_0_INT3 FFTC_1_INT0 FFTC_1_INT1 FFTC_1_INT2 FFTC_1_INT3 FFTC_2_INT0 FFTC_2_INT1 FFTC_2_INT2 FFTC_2_INT3 FFTC_3_INT0 FFTC_3_INT1 FFTC_3_INT2 FFTC_3_INT3 Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved AIF_INT AIF_ATEVT0 AIF_ATEVT1 AIF_ATEVT2 AIF_ATEVT3 AIF_ATEVT4 AIF_ATEVT5 AIF_ATEVT6 AIF_ATEVT7 AIF_ATEVT8 AIF_ATEVT9 AIF_ATEVT10 AIF_ATEVT11 AIF_ATEVT12 AIF_ATEVT13 AIF_ATEVT14 AIF_ATEVT15 Reserved Reserved Description HyperLink 1 interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt
Table 5-26
Event No. 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351
FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt FFTC interrupt Reserved Reserved Reserved Reserved Reserved Reserved Reserved Reserved AIF interrupt AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event AIF timer event Reserved Reserved
155
PRODUCT PREVIEW
FFTC interrupt
CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 9 of 11)
Event Name Reserved Reserved TCP3D_0_INT TCP3D_1_INT Reserved Reserved BCP_INT0 BCP_INT1 BCP_INT2 BCP_INT3 PSC_ALLINT Reserved RAC_0_TRACE_GCCP0 RAC_0_TRACE_GCCP1 RAC_1_TRACE_GCCP0 RAC_1_TRACE_GCCP1 Reserved Reserved Reserved Reserved MPU_9_INT MPU_10_INT MPU_11_INT TRACER_MSMC_4_INT TRACER_MSMC_5_INT TRACER_MSMC_6_INT TRACER_MSMC_7_INT TRACER_DDR_1_INT TRACER_BCR_INT TRACER_RAC_1_INT Reserved TRACER_RAC_FE_INT TRACER_SPI_ROM_EMIF_INT TRACER_TAC_BE_INT Reserved TIMER_8_INTL TIMER_8_INTH TIMER_9_INTL TIMER_9_INTH TIMER_10_INTL TIMER_10_INTH TIMER_11_INTL TIMER_11_INTH TIMER_14_INTL Description Reserved Reserved TCP3d interrupt TCP3d interrupt Reserved Reserved BCP interrupt BCP interrupt BCP interrupt BCP interrupt PSC interrupt Reserved RAC trace RAC trace RAC trace RAC trace Reserved Reserved Reserved Reserved MPU9 addressing violation interrupt and protection violation interrupt MPU10 addressing violation interrupt and protection violation interrupt MPU11 addressing violation interrupt and protection violation interrupt Tracer sliding time window interrupt for MSMC SRAM Bank 4 Tracer sliding time window interrupt for MSMC SRAM Bank 4 Tracer sliding time window interrupt for MSMC SRAM Bank 4 Tracer sliding time window interrupt for MSMC SRAM Bank 4 Tracer sliding time window interrupt for DDR3B Tracer sliding time window interrupt for BCR Tracer sliding time window interrupt for RAC Reserved Tracer sliding time window interrupt for RAC Tracer sliding time window interrupt for SPI/ROM/EMIF16 modules Tracer sliding time window interrupt for TAC Reserved Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low
PRODUCT PREVIEW
156
SPRS835DAugust 2013 CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 10 of 11)
Event Name TIMER_14_INTH TIMER_15_INTL TIMER_15_INTH USB_INT00 USB_INT04 USB_INT05 USB_INT06 USB_INT07 USB_INT08 USB_INT09 USB_INT10 USB_INT11 USB_MISCINT USB_OABSINT TCP3D_0_REVT0 TCP3D_0_REVT1 TCP3D_1_REVT0 TCP3D_1_REVT1 Reserved Reserved Reserved Reserved VCP2_0_INT0 VCP2_0_INT1 VCP2_0_INT2 VCP2_0_INT3 VCP2_1_INT0 VCP2_1_INT1 VCP2_1_INT2 VCP2_1_INT3 VCP2_0_REVT0 VCP2_0_XEVT0 VCP2_0_REVT1 VCP2_0_XEVT1 VCP2_0_REVT2 VCP2_0_XEVT2 VCP2_0_REVT3 VCP2_0_XEVT3 VCP2_1_REVT0 VCP2_1_XEVT0 VCP2_1_REVT1 VCP2_1_XEVT1 VCP2_1_REVT2 VCP2_1_XEVT2 Description Timer interrupt high Timer interrupt low Timer interrupt high USB interrupt USB interrupt USB interrupt USB interrupt USB interrupt USB interrupt
Table 5-26
Event No. 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439
USB interrupt USB interrupt USB miscellaneous interrupt USB OABS interrupt TCP3d interrupt TCP3d interrupt TCP3d interrupt TCP3d interrupt Reserved Reserved Reserved Reserved VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt VCP interrupt
157
PRODUCT PREVIEW
USB interrupt
CIC2 Event Inputs (Secondary Events for EDMA3CC0 and HyperLinks) (Part 11 of 11)
Event Name VCP2_1_REVT3 VCP2_1_XEVT3 TETB_OVFLINT0 TETB_UNFLINT0 TETB_OVFLINT1 TETB_UNFLINT1 TETB_OVFLINT2 TETB_UNFLINT2 TETB_OVFLINT3 TETB_UNFLINT3 TETB_OVFLINT4 TETB_UNFLINT4 TETB_OVFLINT5 TETB_UNFLINT5 TETB_OVFLINT6 TETB_UNFLINT6 TETB_OVFLINT7 TETB_UNFLINT7 ARM_TBR_DMA RAC_0_INT RAC_1_INT Reserved Reserved GPIO_INT0 GPIO_INT1 GPIO_INT2 GPIO_INT3 GPIO_INT4 GPIO_INT5 GPIO_INT6 GPIO_INT7 IPC_GR0 IPC_GR1 IPC_GR2 IPC_GR3 IPC_GR4 IPC_GR5 IPC_GR6 IPC_GR7 Description VCP interrupt VCP interrupt ETB0 overflow (emulation trace buffer) ETB0 underflow ETB1 overflow (emulation trace buffer) ETB1 underflow ETB2 overflow (emulation trace buffer) ETB2 underflow ETB3 overflow (emulation trace buffer) ETB3 underflow ETB4 overflow (emulation trace buffer) ETB4 underflow ETB5 overflow (emulation trace buffer) ETB5 underflow ETB6 overflow (emulation trace buffer) ETB6 underflow ETB7 overflow (emulation trace buffer) ETB7 underflow ARM trace buffer RAC interrupt RAC interrupt Reserved Reserved GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt IPC interrupt generation IPC interrupt generation IPC interrupt generation IPC interrupt generation IPC interrupt generation IPC interrupt generation IPC interrupt generation IPC interrupt generation
PRODUCT PREVIEW
158
SPRS835DAugust 2013
5.3.2 CIC Registers This section includes the CIC memory map information and registers.
5.3.2.1 CIC0 Register Map
Table 5-27
Address Offset 0x0 0x4 0xc 0x10 0x20 0x24 0x28 0x2C 0x34 0x38 0x200 0x204 0x208 0x20C 0x210 0x214 0x218 0x21C 0x220 0x224 0x228 0x22C 0x230 0x234 0x238 0x23C 0x280 0x284 0x288 0x28C 0x290 0x294 0x298 0x29C 0x2A0 0x2A4 0x2A8 0x2AC 0x2B0
159
PRODUCT PREVIEW
PRODUCT PREVIEW
160
Table 5-27
Address Offset 0x424 0x428 0x42C 0x430 0x434 0x438 0x43C 0x440 0x444 0x448 0x44C 0x450 0x454 0x458 0x45C 0x460 0x464 0x468 0x46C 0x470 0x474 0x478 0x47C 0x480 0x484 0x488 0x48C 0x490 0x494 0x498 0x49C 0x4A0 0x4A4 0x4A8 0x4AC 0x4B0 0x4B4 0x4B8 0x4BC 0x4C0 0x4C4 0x4C8 0x4CC 0X4D0
Interrupt Channel Map Register for 76 to 76+3 Interrupt Channel Map Register for 80 to 80+3 Interrupt Channel Map Register for 84 to 84+3 Interrupt Channel Map Register for 88 to 88+3 Interrupt Channel Map Register for 92 to 92+3 Interrupt Channel Map Register for 96 to 96+3 Interrupt Channel Map Register for 100 to 100+3 Interrupt Channel Map Register for 104 to 104+3 Interrupt Channel Map Register for 108 to 108+3 Interrupt Channel Map Register for 112 to 112+3 Interrupt Channel Map Register for 116 to 116+3 Interrupt Channel Map Register for 120 to 120+3 Interrupt Channel Map Register for 124 to 124+3 Interrupt Channel Map Register for 128 to 128+3 Interrupt Channel Map Register for 132 to 132+3 Interrupt Channel Map Register for 136 to 136+3 Interrupt Channel Map Register for 140 to 140+3 Interrupt Channel Map Register for 144 to 144+3 Interrupt Channel Map Register for 148 to 148+3 Interrupt Channel Map Register for 152 to 152+3 Interrupt Channel Map Register for 156 to 156+3 Interrupt Channel Map Register for 160 to 160+3 Interrupt Channel Map Register for 164 to 164+3 Interrupt Channel Map Register for 168 to 168+3 Interrupt Channel Map Register for 172 to 172+3 Interrupt Channel Map Register for 176 to 176+3 Interrupt Channel Map Register for 180 to 180+3 Interrupt Channel Map Register for 184 to 184+3 Interrupt Channel Map Register for 188 to 188+3 Interrupt Channel Map Register for 192 to 192+3 Interrupt Channel Map Register for 196 to 196+3 Interrupt Channel Map Register for 200 to 200+3 Interrupt Channel Map Register for 204 to 204+3 Interrupt Channel Map Register for 208 to 208+3
161
PRODUCT PREVIEW
PRODUCT PREVIEW
162
Table 5-27
Address Offset 0x574 0x578 0x57C 0x580 0x584 0x588 0x58C 0x590 0x594 0x598 0x59C 0x5A0 0x5A4 0x5A8 0x5AC 0x5B0 0x5B4 0x5B8 0x5BC 0x5C0 0x5C4 0x5C8 0x5CC 0x5D0 0x5D4 0x5D8 0x5DC 0x5E0 0x5E4 0x5E8 0x5EC 0x5F0 0x5F4 0x5F8 0x5FC 0x600 0x604 0x608 0x60C 0x610 0x614 0x618 0x61C 0x620
Interrupt Channel Map Register for 428 to 428+3 Interrupt Channel Map Register for 432 to 432+3 Interrupt Channel Map Register for 436 to 436+3 Interrupt Channel Map Register for 440 to 440+3 Interrupt Channel Map Register for 444 to 444+3 Interrupt Channel Map Register for 448 to 448+3 Interrupt Channel Map Register for 452 to 452+3 Interrupt Channel Map Register for 456 to 456+3 Interrupt Channel Map Register for 460 to 460+3 Interrupt Channel Map Register for 464 to 464+3 Interrupt Channel Map Register for 468 to 468+3 Interrupt Channel Map Register for 472 to 472+3 Interrupt Channel Map Register for 476 to 476+3 Interrupt Channel Map Register for 480 to 480+3 Interrupt Channel Map Register for 484 to 484+3 Interrupt Channel Map Register for 488 to 488+3 Interrupt Channel Map Register for 482 to 492+3 Interrupt Channel Map Register for 496 to 496+3 Interrupt Channel Map Register for 500 to 500+3 Interrupt Channel Map Register for 504 to 504+3 Interrupt Channel Map Register for 508 to 508+3 Interrupt Channel Map Register for 512 to 512+3 Interrupt Channel Map Register for 516 to 516+3 Interrupt Channel Map Register for 520 to 520+3 Interrupt Channel Map Register for 524 to 524+3 Interrupt Channel Map Register for 528 to 528+3 Interrupt Channel Map Register for 532 to 532+3 Interrupt Channel Map Register for 536 to 536+3 Interrupt Channel Map Register for 540 to 540+3 Interrupt Channel Map Register for 544 to 544+3 Interrupt Channel Map Register for 548 to 548+3 Interrupt Channel Map Register for 552 to 552+3 Interrupt Channel Map Register for 556 to 556+3 Interrupt Channel Map Register for 560 to 560+3
163
PRODUCT PREVIEW
PRODUCT PREVIEW
164
Table 5-27
Address Offset 0x834 0x838 0x83c 0x840 0x844 0x848 0x84c 0x1500 0x1504 0x1508
165
PRODUCT PREVIEW
PRODUCT PREVIEW
166
Table 5-28
Address Offset 0x400 0x404 0x408 0x40c 0x410 0x414 0x418 0x41c 0x420 0x424 0x428 0x42c 0x430 0x434 0x438 0x43c 0x440 0x444 0x448 0x44c 0x450 0x454 0x458 0x45c 0x460 0x464 0x468 0x46c 0x470 0x474 0x478 0x47c 0x480 0x484 0x488 0x48c 0x490 0x494 0x498 0x49c 0x4A0 0x4A4 0x4A8 0x4AC
Interrupt Channel Map Register for 40 to 40+3 Interrupt Channel Map Register for 44 to 44+3 Interrupt Channel Map Register for 48 to 48+3 Interrupt Channel Map Register for 52 to 52+3 Interrupt Channel Map Register for 56 to 56+3 Interrupt Channel Map Register for 60 to 60+3 Interrupt Channel Map Register for 64 to 64+3 Interrupt Channel Map Register for 68 to 68+3 Interrupt Channel Map Register for 72 to 72+3 Interrupt Channel Map Register for 76 to 76+3 Interrupt Channel Map Register for 80 to 80+3 Interrupt Channel Map Register for 84 to 84+3 Interrupt Channel Map Register for 88 to 88+3 Interrupt Channel Map Register for 92 to 92+3 Interrupt Channel Map Register for 96 to 96+3 Interrupt Channel Map Register for 100 to 100+3 Interrupt Channel Map Register for 104 to 104+3 Interrupt Channel Map Register for 108 to 108+3 Interrupt Channel Map Register for 112 to 112+3 Interrupt Channel Map Register for 116 to 116+3 Interrupt Channel Map Register for 120 to 120+3 Interrupt Channel Map Register for 124 to 124+3 Interrupt Channel Map Register for 128 to 128+3 Interrupt Channel Map Register for 132 to 132+3 Interrupt Channel Map Register for 136 to 136+3 Interrupt Channel Map Register for 140 to 140+3 Interrupt Channel Map Register for 144 to 144+3 Interrupt Channel Map Register for 148 to 148+3 Interrupt Channel Map Register for 152 to 152+3 Interrupt Channel Map Register for 156 to 156+3 Interrupt Channel Map Register for 160 to 160+3 Interrupt Channel Map Register for 164 to 164+3 Interrupt Channel Map Register for 168 to 168+3 Interrupt Channel Map Register for 172 to 172+3
167
PRODUCT PREVIEW
PRODUCT PREVIEW
168
Table 5-28
Address Offset 0x550 0x554 0x558 0x55C 0x560 0x564 0x568 0x56C 0x570 0x574 0x578 0x57C 0x580 0x584 0x588 0x58C 0x590 0x594 0x598 0x59C 0x5A0 0x5A4 0x5A8 0x5AC 0x5B0 0x5B4 0x5B8 0x5BC 0x5C0 0x5C4 0x5C8 0x5CC 0x5D0 0x5D4 0x5D8 0x5DC 0x5E0 0x5E4 0x5E8 0x5EC 0x5F0 0x5F4 0x5F8 0x5FC
Interrupt Channel Map Register for 392 to 392+3 Interrupt Channel Map Register for 396 to 396+3 Interrupt Channel Map Register for 400 to 400+3 Interrupt Channel Map Register for 404 to 404+3 Interrupt Channel Map Register for 408 to 408+3 Interrupt Channel Map Register for 412 to412+3 Interrupt Channel Map Register for 416 to 416+3 Interrupt Channel Map Register for 420 to 420+3 Interrupt Channel Map Register for 424 to 424+3 Interrupt Channel Map Register for 428 to 428+3 Interrupt Channel Map Register for 432 to 432+3 Interrupt Channel Map Register for 436 to 436+3 Interrupt Channel Map Register for 440 to 440+3 Interrupt Channel Map Register for 444 to 444+3 Interrupt Channel Map Register for 448 to 448+3 Interrupt Channel Map Register for 452 to 452+3 Interrupt Channel Map Register for 456 to 456+3 Interrupt Channel Map Register for 460 to 460+3 Interrupt Channel Map Register for 464 to 464+3 Interrupt Channel Map Register for 468 to 468+3 Interrupt Channel Map Register for 472 to 472+3 Interrupt Channel Map Register for 476 to 476+3 Interrupt Channel Map Register for 480 to 480+3 Interrupt Channel Map Register for 484 to 484+3 Interrupt Channel Map Register for 488 to 488+3 Interrupt Channel Map Register for 482 to 492+3 Interrupt Channel Map Register for 496 to 496+3 Interrupt Channel Map Register for 500 to 500+3 Interrupt Channel Map Register for 504 to 504+3 Interrupt Channel Map Register for 508 to 508+3 Interrupt Channel Map Register for 512 to 512+3 Interrupt Channel Map Register for 516 to 516+3 Interrupt Channel Map Register for 520 to 520+3 Interrupt Channel Map Register for 524 to 524+3
169
PRODUCT PREVIEW
PRODUCT PREVIEW
170
Table 5-28
Address Offset 0x810 0x814 0x818 0x81c 0x820 0x824 0x828 0x82c 0x830 0x834 0x1500 0x1504
171
PRODUCT PREVIEW
PRODUCT PREVIEW
172
Table 5-29
Address Offset 0x3B8 0x38C 0x400 0x404 0x408 0x40c 0x410 0x414 0x418 0x41c 0x420 0x424 0x428 0x42c 0x430 0x434 0x438 0x43c 0x5C0 0x5C4 0x5C8 0x5CC 0x5D0 0x5D4 0x5D8 0x5DC 0x5E0 0x5E4 0x5E8 0x5EC 0x5F0 0x5F4 0x5F8 0x5FC 0x600 0x604 0x608 0x60C 0x610 0x614 0x618 0x61C 0x620 0x624
Interrupt Channel Map Register for 32 to 32+3 Interrupt Channel Map Register for 36 to 36+3 Interrupt Channel Map Register for 40 to 40+3 Interrupt Channel Map Register for 44 to 44+3 Interrupt Channel Map Register for 48 to 48+3 Interrupt Channel Map Register for 52 to 52+3 Interrupt Channel Map Register for 56 to 56+3 Interrupt Channel Map Register for 60 to 60+3 Interrupt Channel Map Register for 464 to 464+3 Interrupt Channel Map Register for 468 to 468+3 Interrupt Channel Map Register for 472 to 472+3 Interrupt Channel Map Register for 476 to 476+3 Interrupt Channel Map Register for 480 to 480+3 Interrupt Channel Map Register for 484 to 484+3 Interrupt Channel Map Register for 488 to 488+3 Interrupt Channel Map Register for 482 to 492+3 Interrupt Channel Map Register for 496 to 496+3 Interrupt Channel Map Register for 500 to 500+3 Interrupt Channel Map Register for 504 to 504+3 Interrupt Channel Map Register for 508 to 508+3 Interrupt Channel Map Register for 512 to 512+3 Interrupt Channel Map Register for 516 to 516+3 Interrupt Channel Map Register for 520 to 520+3 Interrupt Channel Map Register for 524 to 524+3 Interrupt Channel Map Register for 528 to 528+3 Interrupt Channel Map Register for 532 to 532+3 Interrupt Channel Map Register for 536 to 536+3 Interrupt Channel Map Register for 540 to 540+3 Interrupt Channel Map Register for 544 to 544+3 Interrupt Channel Map Register for 548 to 548+3 Interrupt Channel Map Register for 552 to 552+3 Interrupt Channel Map Register for 556 to 556+3 Interrupt Channel Map Register for 560 to 560+3 Interrupt Channel Map Register for 564 to 564+3
173
PRODUCT PREVIEW
PRODUCT PREVIEW
174
SPRS835DAugust 2013
Reserved IPC Generation Register for C66x CorePac0 IPC Generation Register for C66x CorePac1 IPC Generation Register for C66x CorePac2 IPC Generation Register for C66x CorePac3 IPC Generation Register for C66x CorePac4 IPC Generation Register for C66x CorePac5 IPC Generation Register for C66x CorePac6 IPC Generation Register for C66x CorePac7 IPC Generation Register for ARM CorePac0 IPC Generation Register for ARM CorePac1 IPC Generation Register for ARM CorePac2 IPC Generation Register for ARM CorePac3 Reserved IPC Generation Register for Host IPC Acknowledgement Register for C66x CorePac0 IPC Acknowledgement Register for C66x CorePac1 IPC Acknowledgement Register for C66x CorePac2 IPC Acknowledgement Register for C66x CorePac3 IPC Acknowledgement Register for C66x CorePac4 IPC Acknowledgement Register for C66x CorePac5 IPC Acknowledgement Register for C66x CorePac6 IPC Acknowledgement Register for C66x CorePac7 IPC Acknowledgement Register for ARM CorePac0 IPC Acknowledgement Register for ARM CorePac1 IPC Acknowledgement Register for ARM CorePac2 IPC Acknowledgement Register for ARM CorePac3 Reserved Reserved IPC Acknowledgement Register for host
175
PRODUCT PREVIEW
5.3.4 NMI and LRESET The Non-Maskable Interrupts (NMI) can be generated by chip-level registers and the LRESET can be generated by software writing into LPSC registers. LRESET and NMI can also be asserted by device pins or watchdog timers. One NMI pin and one LRESET pin are shared by all eight C66x CorePacs on the device. The CORESEL[3:0] pins can be configured to select between the eight C66x CorePacs available as shown in Table 5-31.
Table 5-31
XXXX 0000 0001 0010 0011 0100 0101 0110 0111 1XXX 0000 0001 0010 0011 0100 0101 0110 0111 1XXX 0000 0001 0010 0011 0100 0101 0110 0111 1XXX End of Table 5-31
PRODUCT PREVIEW
SPRS835DAugust 2013
EDMA3CC2 has four transfer controllers: TPTC0, TPTC1, TPTC2, and TPTC3. EDMA3CC3 has two transfer controllers: TPTC0 and TPTC1. EDMA3CC4 has two transfer controllers: TPTC0 and TPTC1.
In the context of this document, TPTCx is associated with EDMA3CCy, and is referred to as EDMA3CCy TPTCx. Each of the transfer controllers has a direct connection to the switch fabric. Section 6.2 Switch Fabric Connections Matrix - Data Space on page 189 lists the peripherals that can be accessed by the transfer controllers. EDMA3CC0 is optimized to be used for transfers to/from/within the MSMC and DDR3A/DDR3B subsytems. The others are used for the remaining traffic. Each EDMA3 channel controller includes the following features: Fully orthogonal transfer description 3 transfer dimensions: Array (multiple bytes) Frame (multiple arrays) Block (multiple frames) Single event can trigger transfer of array, frame, or entire block Independent indexes on source and destination Flexible transfer definition: Increment or FIFO transfer addressing modes Linking mechanism allows for ping-pong buffering, circular buffering, and repetitive/continuous transfers, all with no CPU intervention Chaining allows multiple transfers to execute with one event 512 PaRAM entries for all EDMA3CC Used to define transfer context for channels Each PaRAM entry can be used as a DMA entry, QDMA entry, or link entry 64 DMA channels for all EDMA3CC Manually triggered (CPU writes to channel controller register) External event triggered Chain triggered (completion of one transfer triggers another) 8 Quick DMA (QDMA) channels per EDMA3CCx Used for software-driven transfers Triggered upon writing to a single PaRAM set entry Two transfer controllers and two event queues with programmable system-level priority for EDMA3CC0, EDMA3CC3, and EDMA3CC4 Four transfer controllers and four event queues with programmable system-level priority each for DMA3CC1 and EDMA3CC2 Interrupt generation for transfer completion and error conditions Debug visibility Queue watermarking/threshold allows detection of maximum usage of event queues Error and status recording to facilitate debug
177
PRODUCT PREVIEW
5.4.1 EDMA3 Device-Specific Information The EDMA supports two addressing modes: constant addressing and increment addressing mode. Constant addressing mode is applicable to a very limited set of use cases. For most applications, increment mode can be used. On the TCI6636K2H SoC, the EDMA can use constant addressing mode only with the enhanced Viterbi decoder coprocessor (VCP) and the enhanced turbo decoder coprocessor (TCP). Constant addressing mode is not supported by any other peripheral or internal memory in the DSP. Note that increment mode is supported by all peripherals, including VCP and TCP. For more information on these two addressing modes, see the Enhanced Direct Memory Access 3 (EDMA3) for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21. For the range of memory addresses that includes EDMA3 channel controller (EDMA3CC) control registers and EDMA3 transfer controller (TPTC) control registers see Section 5.1 Memory Map Summary on page 83. For memory offsets and other details on EDMA3CC and TPTC Control Register entries, see the Enhanced Direct Memory Access 3 (EDMA3) for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21. 5.4.2 EDMA3 Channel Controller Configuration Table 5-32 shows the configuration for each of the EDMA3 channel controllers present on the device.
Table 5-32
Description Number of DMA channels in channel controller Number of QDMA channels Number of interrupt channels Number of PaRAM set entries Number of event queues Number of transfer controllers Memory protection existence Number of memory protection and shadow regions End of Table 5-32
PRODUCT PREVIEW
5.4.3 EDMA3 Transfer Controller Configuration Each transfer controller on the device is designed differently based on considerations like performance requirements, system topology (like main TeraNet bus width, external memory bus width), etc. The parameters that determine the transfer controller configurations are: FIFOSIZE: Determines the size in bytes for the data FIFO that is the temporary buffer for the in-flight data. The data FIFO is where the read return data read by the TC read controller from the source endpoint is stored and subsequently written out to the destination endpoint by the TC write controller. BUSWIDTH: The width of the read and write data buses in bytes, for the TC read and write controller, respectively. This is typically equal to the bus width of the main TeraNet interface. Default Burst Size (DBS): The DBS is the maximum number of bytes per read/write command issued by a transfer controller. DSTREGDEPTH: This determines the number of destination FIFO register sets. The number of destination FIFO register sets for a transfer controller determines the maximum number of outstanding transfer requests. All four parameters listed above are fixed by the design of the device.
178
SPRS835DAugust 2013
Table 5-33 shows the configuration of each of the EDMA3 transfer controllers present on the device.
Table 5-33
Parameter FIFOSIZE BUSWIDTH DSTREGDEPTH DBS
5.4.4 EDMA3 Channel Synchronization Events The EDMA3 supports up to 64 DMA channels for all EDMA3CC that can be used to service system peripherals and to move data between system memories. DMA channels can be triggered by synchronization events generated by system peripherals. The following tables list the source of the synchronization event associated with each of the EDMA EDMA3CC DMA channels. On the TCI6636K2H, the association of each synchronization event and DMA channel is fixed and cannot be reprogrammed. For more detailed information on the EDMA3 module and how EDMA3 events are enabled, captured, processed, prioritized, linked, chained, and cleared, etc., see the Enhanced Direct Memory Access 3 (EDMA3) for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21.
Table 5-34
Event No. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22
179
PRODUCT PREVIEW
PRODUCT PREVIEW
180
Table 5-35
Event No. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43
AIF Timer event AIF Timer event AIF Timer event AIF Timer event Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Timer interrupt low Timer interrupt high Semaphore interrupt Semaphore interrupt Semaphore interrupt Semaphore interrupt CIC2 Interrupt Controller output CIC2 Interrupt Controller output
181
PRODUCT PREVIEW
GPIO interrupt
PRODUCT PREVIEW
Table 5-36
Event No. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19
182
Table 5-36
Event No. 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61
GPIO interrupt GPIO interrupt GPIO interrupt GPIO interrupt TCP3d event TCP3d event TCP3d event TCP3d event CIC2 Interrupt Controller output TAC interrupt UART0 receive event UART0 transmit event CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output SPI0 transmit event SPI0 receive event VCP receive event VCP transmit event VCP receive event VCP transmit event VCP receive event VCP transmit event VCP receive event VCP transmit event RAC interrupt RAC interrupt Reserved
183
PRODUCT PREVIEW
GPIO interrupt
Table 5-37
Event No. 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37
PRODUCT PREVIEW
184
Table 5-37
Event No. 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63
VCP event VCP event VCP event VCP event VCP event VCP event VCP event VCP event CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output CIC2 Interrupt Controller output
Table 5-38
Event No. 0 1 2 3 4 5 6 7 8 9 10 11 12 13
185
PRODUCT PREVIEW
VCP event
PRODUCT PREVIEW
186
Table 5-38
Event No. 58 59 60 61 62 63
187
PRODUCT PREVIEW
6 System Interconnect
On the KeyStone II devices, the C66x CorePac, the EDMA3 transfer controllers, and the system peripherals are interconnected through the TeraNets, which are non-blocking switch fabrics enabling fast and contention-free internal data movement. The TeraNets provide low-latency, concurrent data transfers between master peripherals and slave peripherals. The TeraNets also allow for seamless arbitration between the system masters when accessing system slaves. The ARM CorePac is connected to the MSMC and the debug subsystem directly, and to other masters via the TeraNets. Through the MSMC, the ARM CorePacs can be interconnected to DDR3A and TeraNet 3_A, which allows the ARM CorePacs to access to the peripheral buses: TeraNet 3P_A for peripheral configuration TeraNet 6P_A for ARM Boot ROM TeraNet 3_C for DDR3B
PRODUCT PREVIEW
Examples of masters include the EDMA3 traffic controllers, SRIO, and network coprocessor packet DMA. Examples of slaves include the SPI, UART, and I C. The masters and slaves in the device communicate through the TeraNet (switch fabric). The device contains two types of switch fabric: Data TeraNet is a high-throughput interconnect mainly used to move data across the system Configuration TeraNet is mainly used to access peripheral registers
2
Some peripherals have both a data bus and a configuration bus interface, while others only have one type of interface. Furthermore, the bus interface width and speed varies from peripheral to peripheral. Note that the data TeraNet also connects to the configuration TeraNet.
188
System Interconnect
SPRS835DAugust 2013
TeraNet 3_A-1
Bridge_11 Tracer_SPI_ ROM_EMIF16
6636
S S S S S S
EMIF16 SPI_0
CPU/3
SRIO Packet DMA QM Packet DMA QM_2 Packet DMA FFTC_0 Packet DMA FFTC_1 Packet DMA FFTC_2 Packet DMA FFTC_3 Packet DMA Debug_SS BCP_DIO0 TAC_FE2 TAC_FE3 TAC_FE BCP_DIO1 USB_MST
M M M M M M M M M M M M M M
TNet_3_G CPU/3 TNet_3_F CPU/3 TNet_3_D CPU/3
TNet_6P_A CPU/6
MPU_14
SPI_2 Boot_ROM Boot_ROM ARM VCP2_0 VCP2_1 VCP2_2 VCP2_3 Bridge_5 Bridge_6 Bridge_7
TeraNet 3_A-1
S
TNet_3_H CPU/3
S S S
System Interconnect
189
PRODUCT PREVIEW
MPU_13
SPI_1
TeraNet 3_A-2
S S
TAC_BE1 QM_SS
Tracer_SPI_ ROM_EMIF16
CPU/3
S S S S S
XGE NETCP
M M M M M M M M M M M M M M M M M
TNet_3_L CPU/3
TeraNet 3_A-2
PRODUCT PREVIEW
190
AIF/DMA RAC_0_BE1_HP RAC_1_BE1_HP TC_0 EDMA CC1 TC_1 TC_2 TC_3 TC_0 EDMA CC2 TC_1 TC_2 TC_3 EDMA CC3 TC_0 TC_1 SRIO_M PCIe
L2 Cache_0_A L2 Cache_0_B L2 Cache_1_A L2 Cache_1_B L2 Cache_2_A L2 Cache_2_B L2 Cache_3_A L2 Cache_3_B To TeraNet C66x SDMA L2 Cache_4_A L2 Cache_4_B L2 Cache_5_A L2 Cache_5_B L2 Cache_6_A L2 Cache_6_B L2 Cache_7_A L2 Cache_7_B
System Interconnect
Figure 6-3
XMC
6636
ARM CorePac
HyperLink 0 HyperLink 1
M M
S S S S
SES SMS
HyperLink 0 HyperLink 1
S
MSMC
Tracer_ MSMC0-8
M
BR_SES_0 Bridge_5 Bridge_6 Bridge_7 From TeraNet_3_A Bridge_8 Bridge_9 Bridge_10
DDR3A
CPU/3
TNet_SES CPU/1
TNet_SMS CPU/1
TeraNet 3_C
TNet_msmc_sys CPU/1
To TeraNet_3_A To TeraNet_3P_A
M M M M M M M
TNet_3_J CPU/3
TNet_3_U CPU/3
MPU_7 Tracer_DDRB
DDR3B
RAC_0_BE1_LP RAC_1_BE1_LP
System Interconnect
191
PRODUCT PREVIEW
Tracer_L2_0
CorePac_0
Tracer_L2_1
CorePac_1
Tracer_L2_2
CorePac_2
Tracer_L2_3
CorePac_3
PRODUCT PREVIEW
192
Tracer_L2_4
CorePac_4
Tracer_L2_5
CorePac_5
Tracer_L2_6
CorePac_6
Tracer_L2_7
CorePac_7
System Interconnect
SPRS835DAugust 2013
The following tables list the master and slave end point connections. Intersecting cells may contain one of the following: Y There is a connection between this master and that slave. - There is NO connection between this master and that slave. n A numeric value indicates that the path between this master and that slave goes through bridge n.
Table 6-1 Data Space Interconnect -Section 1 (Part 1 of 3)
Slaves BCR_RAC_(0-1)_FEI CorePac0_SDMA CorePac1_SDMA CorePac2_SDMA CorePac3_SDMA CorePac4_SDMA CorePac5_SDMA CorePac6_SDMA CorePac7_SDMA BootROM_C66x BootROM_ARM
DBG_STM
AEMIF16
Masters 10GbE AIF2 BCP BCP_DIO(0) BCP_DIO(1) CorePac0_CFG CorePac1_CFG CorePac2_CFG CorePac3_CFG CorePac4_CFG CorePac5_CFG CorePac6_CFG CorePac7_CFG CPT_BCR_CFG CPT_CFG CPT_DDR3A CPT_DDR3B CPT_INTC CPT_L2_(0-7) CPT_MSMC(0-7) CPT_QM_CFG1 CPT_QM_CFG2 CPT_QM_M CPT_RAC_CFG1 CPT_RAC_CFG2 CPT_RAC_FEI CPT_SM CPT_SPI_ROM_EMIF16 CPT_TAC_BE CPT_TPCC(0_4)T CPT_TPCC(1_2_3)T DBG_DAP
11 Y
42 42 Y
Y Y Y Y Y Y
Y Y Y Y Y Y
Y Y Y Y Y Y
Y Y Y Y Y Y
Y Y Y Y Y Y
Y Y Y Y Y Y
Y Y Y Y Y Y
Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
System Interconnect
193
PRODUCT PREVIEW
Masters EDMA0_CC_TR EDMA0_TC0_RD EDMA0_TC0_WR EDMA0_TC1_RD EDMA0_TC1_WR EDMA1_CC_TR EDMA1_TC0_RD EDMA1_TC0_WR EDMA1_TC1_RD EDMA1_TC1_WR EDMA1_TC2_RD EDMA1_TC2_WR EDMA1_TC3_RD EDMA1_TC3_WR EDMA2_CC_TR EDMA2_TC0_RD EDMA2_TC0_WR EDMA2_TC1_RD EDMA2_TC1_WR EDMA2_TC2_RD EDMA2_TC2_WR EDMA2_TC3_RD EDMA2_TC3_WR EDMA3_CC_TR EDMA3_TC0_RD EDMA3_TC0_WR EDMA3_TC1_RD EDMA3_TC1_WR EDMA4_CC_TR EDMA4_TC0_RD EDMA4_TC0_WR EDMA4_TC1_RD EDMA4_TC1_WR FFTC_0 FFTC_1 FFTC_2 FFTC_3 HyperLink0_Master HyperLink1_Master
42 42 42 42 42 42 42 42 42 42 42 42 42 42 42 42
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
194
System Interconnect
DBG_STM Y Y Y Y Y Y Y Y Y -
AEMIF16
PRODUCT PREVIEW
Table 6-1
Masters MSMC_SYS NETCP PCIE QM_Master1 QM_Master2 QM_SEC RAC_0_BE0 RAC_0_BE1 RAC_1_BE0 RAC_1_BE1 SRIO SRIO Packet DMA TAC_FEI(0-2) USB End of Table 6-1
11 11 11 11 -
42 42 42 -
11 -
11 -
Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y
DBG_STM Y Y Y Y Y
AEMIF16
Table 6-2
MSMC_SMS
HyperLink0
HyperLink1
MSMC_SES
Masters 10GbE AIF2 BCP BCP_DIO(0) BCP_DIO(1) CorePac0_CFG CorePac1_CFG CorePac2_CFG CorePac3_CFG CorePac4_CFG CorePac5_CFG CorePac6_CFG CorePac7_CFG CPT_BCR_CFG
10 9 6 5 9 -
Y Y Y Y -
Y Y Y Y -
Y -
Y Y Y Y Y -
11 -
TAC_BEI
SPI(0-2)
DDR3B
PCIE
QM
Y Y -
Y -
Y -
System Interconnect
195
PRODUCT PREVIEW
MSMC_SMS
HyperLink0
HyperLink1
MSMC_SES
Masters CPT_CFG CPT_DDR3A CPT_DDR3B CPT_INTC CPT_L2_(0-7) CPT_MSMC(0-7) CPT_QM_CFG1 CPT_QM_CFG2 CPT_QM_M CPT_RAC_CFG1 CPT_RAC_CFG2 CPT_RAC_FEI CPT_SM CPT_SPI_ROM_EMIF16 CPT_TAC_BE CPT_TPCC(0_4)T CPT_TPCC(1_2_3)T DBG_DAP EDMA0_CC_TR EDMA0_TC0_RD EDMA0_TC0_WR EDMA0_TC1_RD EDMA0_TC1_WR EDMA1_CC_TR EDMA1_TC0_RD EDMA1_TC0_WR EDMA1_TC1_RD EDMA1_TC1_WR EDMA1_TC2_RD EDMA1_TC2_WR EDMA1_TC3_RD EDMA1_TC3_WR EDMA2_CC_TR EDMA2_TC0_RD EDMA2_TC0_WR EDMA2_TC1_RD EDMA2_TC1_WR EDMA2_TC2_RD EDMA2_TC2_WR
Y Y Y Y Y 5 5 6 6 7 7 8 8 9 9 10 10 5 5
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y SES_0 SES_0 SES_1 SES_1 SES_0 SES_0 SES_1 SES_1 SES_1 SES_1 SES_1 SES_1 SES_2 SES_2 SES_2 SES_2 SES_0 SES_0
Y SMS_0 SMS_0 SMS_1 SMS_1 SMS_0 SMS_0 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_2 SMS_2 SMS_2 SMS_2 SMS_0 SMS_0
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y -
TAC_BEI Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
SPI(0-2)
DDR3B
PCIE
QM
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y
PRODUCT PREVIEW
196
System Interconnect
Table 6-2
MSMC_SMS
HyperLink0
HyperLink1
MSMC_SES
Masters EDMA2_TC3_RD EDMA2_TC3_WR EDMA3_CC_TR EDMA3_TC0_RD EDMA3_TC0_WR EDMA3_TC1_RD EDMA3_TC1_WR EDMA4_CC_TR EDMA4_TC0_RD EDMA4_TC0_WR EDMA4_TC1_RD EDMA4_TC1_WR FFTC_0 FFTC_1 FFTC_2 FFTC_3 HyperLink0_Master HyperLink1_Master MSMC_SYS NETCP PCIE QM_Master1 QM_Master2 QM_SEC RAC_0_BE0 RAC_0_BE1 RAC_1_BE0 RAC_1_BE1 SRIO SRIO Packet DMA TAC_FEI(0-2) USB End of Table 6-2
6 6 7 7 8 8 Y Y Y Y 7 8 9 5 Y Y Y 7 10 5 8 Y Y Y Y Y 9 9 10 5
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y 10 Y Y Y Y Y Y Y Y Y Y
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y 10 Y Y Y Y Y Y Y Y Y Y
SES_0 SES_0 SES_1 SES_1 SES_1 SES_1 SES_1 SES_1 SES_1 SES_1 SES_1 SES_1 SES_2 SES_2 Y Y SES_1 SES_2 SES_0 SES_1 SES_2 SES_2 SES_0 SES_2 SES_2 SES_2 SES_2 SES_0
SMS_0 SMS_0 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_1 SMS_2 SMS_2 Y Y SMS_1 SMS_2 SMS_0 SMS_1 SMS_2 SMS_2 SMS_0 SMS_2 SMS_2 SMS_2 SMS_2 SMS_0
Y Y Y Y Y Y Y Y Y Y Y Y Y Y -
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y 3 3 Y Y Y
TAC_BEI Y Y Y Y Y Y 11 11 11 11 Y Y Y Y Y -
SPI(0-2)
DDR3B
PCIE
QM
Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y -
System Interconnect
197
PRODUCT PREVIEW
TeraNet 3P_A
S S M M S S S S S S S S S
Tracer _EDMA CC1 - CC3 TNet_3P_C CPU/3
MPU ( 15) BCR CFG QM_SS_ CFG1 QM_SS_ CFG2 Semaphore RAC_0_CFG RAC_1_CFG
M M M M
TeraNet 3P_A
PRODUCT PREVIEW
6636
Tracer_SM
CPU/3
TNet_3P_H CPU/3
M M M M
TNet_3P_M CPU/3
CC0 TC ( 2) CC4 TC ( 2)
S S S S
MPU_9 Tracer_INTC
TNet_3P_L CPU/3
S S
TETB CorePac ( 8) DBG_TBR_SYS (Debug_SS) TBR_SYS_ ARM_CorePac MPU_0 Tracer_CFG To TeraNet_3P_Tracer To TeraNet_3P_B
198
System Interconnect
Figure 6-6
From TeraNet_3P_A
S S S S S S S S S S S S S S S S S S
CPU/3
XGE CFG TCP3d_0 TCP3d_1 VCP2_0 VCP2_1 VCP2_2 VCP2_3 FFTC_0 FFTC_1 FFTC_2 FFTC_3 Bridge 20
TeraNet 3P_B
TNet_3P_F CPU/3
TNet_3P_E CPU/3
TNet_3P_G CPU/3
MPU_11
6636
To TeraNet_6P_B
System Interconnect
199
PRODUCT PREVIEW
AIF2
S S S S S
CPU/6
S S S S S S S S S S S S S S S S S S
TeraNet 6P_B
PRODUCT PREVIEW
6636
GPIO
AIF2 B4 SerDes CFG AIF2 B8 SerDes CFG USB PHY CFG PCIe SerDes CFG HyperLink SerDes CFG SRIO SerDes CFG XGE SerDes CFG NetCP SerDes CFG DDR3B PHY CFG DDR3A PHY CFG USB MMR CFG SmartReflex0 SmartReflex1
200
System Interconnect
Figure 6-8
M M M M M M M M
Tracer_MSMC_0
6636
Tracer_SM Tracer_CIC Tracer_DDRB Tracer_QM_CFG1 Tracer_QM_CFG2 Tracer_QM_M Tracer_L2_0-7 ( 8) Tracer_BCR_CFG Tracer_TAC Tracer_CFG Tracer_RAC
M M M M M M M M M M M M M M M
From TeraNet_3P_A
Debug_SS STM
System Interconnect
201
PRODUCT PREVIEW
The following tables list the master and slave end point connections. Intersecting cells may contain one of the following: Y There is a connection between this master and that slave. - There is NO connection between this master and that slave. n A numeric value indicates that the path between this master and that slave goes through bridge n.
Table 6-3 Configuration Space Interconnect - Section 1 (Part 1 of 2)
Slaves CPT_SPI_ROM_EMIF16_CFG
AIF2_SERDES_B4_CFG
AIF2_SERDES_B8_CFG
Masters 10GbE AIF2 BCP Packet DMA BCP_DIO(0-1) CorePac0_CFG CorePac1_CFG CorePac2_CFG CorePac3_CFG CorePac4_CFG CorePac5_CFG CorePac6_CFG CorePac7_CFG DBG_DAP EDMA0_CC_TR EDMA0_TC0_RD EDMA0_TC0_WR EDMA0_TC1_RD EDMA0_TC1_WR EDMA1_CC_TR EDMA1_TC0_RD EDMA1_TC0_WR EDMA1_TC1_RD EDMA1_TC1_WR EDMA1_TC2_RD EDMA1_TC2_WR EDMA1_TC3_RD EDMA1_TC3_WR EDMA2_CC_TR EDMA2_TC0_RD EDMA2_TC0_WR
12 12 12 12 12 12 12 Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12
202
System Interconnect
CPT_TPCC1_2_3_CFG
CPT_MSMC(0-7)_CFG
CPT_RAC_CFG1_CFG
CPT_RAC_CFG2_CFG
10GbE_SERDES_CFG
CPT_QM_CFG1_CFG
CPT_QM_CFG2_CFG
CPT_INTC(0-2)_CFG
CPT_BCR_CFG_CFG
CPT_TPCC0_4_CFG
CPT_RAC_FEI_CFG
CPT_L2_(0-7)_CFG
CPT_TAC_BE_CFG
CPT_DDR3A_CFG
CPT_DDR3B_CFG
CPT_QM_M_CFG
ADTF(0-7)_CFG
BOOTCFG_CFG
PRODUCT PREVIEW
CPT_CFG_CFG
CP_INTC_CFG
CPT_SM_CFG
10GbE_CFG
ARM_CFG
AIF2_CFG
BCR_CFG
BCP_CFG
Table 6-3
AIF2_SERDES_B4_CFG
AIF2_SERDES_B8_CFG
Masters EDMA2_TC1_RD EDMA2_TC1_WR EDMA2_TC2_RD EDMA2_TC2_WR EDMA2_TC3_RD EDMA2_TC3_WR EDMA3_CC_TR EDMA3_TC0_RD EDMA3_TC0_WR EDMA3_TC1_RD EDMA3_TC1_WR EDMA4_CC_TR EDMA4_TC0_RD EDMA4_TC0_WR EDMA4_TC1_RD EDMA4_TC1_WR FFTC_0 FFTC_1 FFTC_2 FFTC_3
CPT_TPCC1_2_3_CFG Y -
CPT_MSMC(0-7)_CFG
CPT_RAC_CFG1_CFG
CPT_RAC_CFG2_CFG
10GbE_SERDES_CFG
CPT_QM_CFG1_CFG
CPT_QM_CFG2_CFG
CPT_INTC(0-2)_CFG
CPT_BCR_CFG_CFG
CPT_TPCC0_4_CFG
CPT_RAC_FEI_CFG
CPT_L2_(0-7)_CFG
CPT_TAC_BE_CFG
CPT_DDR3A_CFG
CPT_DDR3B_CFG
CPT_QM_M_CFG
ADTF(0-7)_CFG
BOOTCFG_CFG
CPT_CFG_CFG
CP_INTC_CFG
CPT_SM_CFG
10GbE_CFG
ARM_CFG
AIF2_CFG
BCR_CFG
BCP_CFG
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 -
13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12
HyperLink0_Master 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 HyperLink1_Master 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 MSMC_SYS NETCP PCIE QM_Master1 QM_Master2 QM_SEC RAC_(0-1)_BE0 RAC_(0-1)_BE1 SRIO SRIO Packet DMA TAC_FEI_(0-2) USB End of Table 6-3 Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 -
12 -
12 -
14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 -
System Interconnect
203
PRODUCT PREVIEW
EDMA0_TC(0-1)_CFG
EDMA1_TC(0-3)_CFG
EDMA2_TC(0-3)_CFG
EDMA3_TC(0-1)_CFG
EDMA4_TC(0-1)_CFG
NETCP_SERDES_CFG
PCIE_SERDES_CFG
DDR3A_PHY_CFG
DDR3B_PHY_CFG
EDMA0_CC_CFG
EDMA1_CC_CFG
EDMA2_CC_CFG
EDMA3_CC_CFG
EDMA4_CC_CFG
FFTC_(0-3)_CFG
MPU(0-14)_CFG
DBG_TBR_SYS
PLL_CTL_CFG
I2C(0-2)_CFG
NETCP_CFG
GPIO_CFG
BCP Packet DMA BCP_DIO(0-1) CorePac0_CFG CorePac1_CFG CorePac2_CFG CorePac3_CFG CorePac4_CFG CorePac5_CFG CorePac6_CFG CorePac7_CFG DBG_DAP EDMA0_CC_TR EDMA0_TC0_RD EDMA0_TC0_WR EDMA0_TC1_RD EDMA0_TC1_WR EDMA1_CC_TR EDMA1_TC0_RD EDMA1_TC0_WR EDMA1_TC1_RD EDMA1_TC1_WR EDMA1_TC2_RD EDMA1_TC2_WR EDMA1_TC3_RD EDMA1_TC3_WR EDMA2_CC_TR EDMA2_TC0_RD EDMA2_TC0_WR EDMA2_TC1_RD EDMA2_TC1_WR EDMA2_TC2_RD EDMA2_TC2_WR EDMA2_TC3_RD EDMA2_TC3_WR
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y -
12 12 12 12 12 12 12 12 12 12 12 12 Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y Y -
12 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 Y -
12 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 Y -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 -
204
System Interconnect
QM_CFG1
DBG_CFG
OTP_CFG
PSC_CFG
GIC_CFG
PRODUCT PREVIEW
Table 6-4
EDMA0_TC(0-1)_CFG
EDMA1_TC(0-3)_CFG
EDMA2_TC(0-3)_CFG
EDMA3_TC(0-1)_CFG
EDMA4_TC(0-1)_CFG
NETCP_SERDES_CFG
PCIE_SERDES_CFG
DDR3A_PHY_CFG
DDR3B_PHY_CFG
EDMA0_CC_CFG
EDMA1_CC_CFG
EDMA2_CC_CFG
EDMA3_CC_CFG
EDMA4_CC_CFG
FFTC_(0-3)_CFG
MPU(0-14)_CFG
DBG_TBR_SYS
PLL_CTL_CFG
I2C(0-2)_CFG
NETCP_CFG
GPIO_CFG
Masters EDMA3_CC_TR EDMA3_TC0_RD EDMA3_TC0_WR EDMA3_TC1_RD EDMA3_TC1_WR EDMA4_CC_TR EDMA4_TC0_RD EDMA4_TC0_WR EDMA4_TC1_RD EDMA4_TC1_WR FFTC_0 FFTC_1 FFTC_2 FFTC_3 HyperLink0_Master HyperLink1_Master MSMC_SYS NETCP PCIE QM_Master1 QM_Master2 QM_SEC RAC_(0-1)_BE0 RAC_(0-1)_BE1 SRIO SRIO Packet DMA TAC_FEI_(0-2) USB End of Table 6-4
13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13
14 -
14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 -
12 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 -
12 -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 Y Y 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 Y Y Y Y Y Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 Y Y Y Y Y Y Y Y Y Y Y Y -
12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 12 -
12 12 12 12 12 12 12 12 12 12 12 12 -
12 -
14 14 14 14 14 14 14 14 14 14 14 14 14 14 14 -
14 14 14 14 14 14 14 14 14 14 14 14 -
12 -
System Interconnect
205
PRODUCT PREVIEW
13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13 13
QM_CFG1
DBG_CFG
OTP_CFG
PSC_CFG
GIC_CFG
SR_CFG(0-1)
TETB0_CFG
TETB1_CFG
TETB2_CFG
TETB3_CFG
TETB4_CFG
TETB5_CFG
TETB6_CFG
TETB7_CFG
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y -
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 13 13 13
12 Y Y Y Y Y Y Y Y Y 13 13 13
12 Y Y Y Y Y Y Y Y Y 14 14 13
12 Y Y Y Y Y Y Y Y Y 14 14 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 Y 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 Y 12 13
12 Y Y Y Y Y Y Y Y Y 13 13 13
12 Y Y Y Y Y Y Y Y Y 14 14 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
12 Y Y Y Y Y Y Y Y Y 12 12 12 12 12 12 12 12 13
CorePac0_CFG CorePac1_CFG CorePac2_CFG CorePac3_CFG CorePac4_CFG CorePac5_CFG CorePac6_CFG CorePac7_CFG DBG_DAP EDMA0_CC_TR EDMA0_TC0_RD EDMA0_TC0_WR EDMA0_TC1_RD EDMA0_TC1_WR EDMA1_CC_TR EDMA1_TC0_RD EDMA1_TC0_WR EDMA1_TC1_RD EDMA1_TC1_WR EDMA1_TC2_RD EDMA1_TC2_WR EDMA1_TC3_RD EDMA1_TC3_WR EDMA2_CC_TR EDMA2_TC0_RD EDMA2_TC0_WR EDMA2_TC1_RD EDMA2_TC1_WR EDMA2_TC2_RD EDMA2_TC2_WR EDMA2_TC3_RD EDMA2_TC3_WR EDMA3_CC_TR EDMA3_TC0_RD
206
System Interconnect
USIM_CFG
SRIO_CFG
QM_CFG2
TAC_CFG
SM_CFG
PRODUCT PREVIEW
Table 6-5
SR_CFG(0-1)
TETB0_CFG
TETB1_CFG
TETB2_CFG
TETB3_CFG
TETB4_CFG
TETB5_CFG
TETB6_CFG
TETB7_CFG
Masters EDMA3_TC0_WR EDMA3_TC1_RD EDMA3_TC1_WR EDMA4_CC_TR EDMA4_TC0_RD EDMA4_TC0_WR EDMA4_TC1_RD EDMA4_TC1_WR FFTC_0 FFTC_1 FFTC_2 FFTC_3 HyperLink0_Master HyperLink1_Master MSMC_SYS NETCP PCIE QM_Master1 QM_Master2 QM_SEC RAC_(0-1)_BE0 RAC_(0-1)_BE1 SRIO SRIO Packet DMA TAC_FEI_(0-2) USB End of Table 6-5
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 12 12 12 12 Y 12 12 14 12
13 12 12 12 12 12 12 Y 12 14 -
14 12 12 12 12 Y 12 14 12
14 12 12 12 12 Y 12 14 12
14 12 12 12 12 Y 12 14 12
14 12 12 12 12 Y 12 14 12
14 12 12 12 12 12 12 Y 12 14 12
14 12 12 12 12 12 12 Y 12 14 12
14 12 12 12 12 Y 12 14 12
14 12 12 12 12 Y 12 14 12
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
13 12 12 12 12 12 12 Y 12 12 14 -
13 12 12 12 12 12 12 Y 12 14 -
USIM_CFG
SRIO_CFG
QM_CFG2
TAC_CFG
SM_CFG
System Interconnect
207
PRODUCT PREVIEW
PRODUCT PREVIEW
PKTDMA_PRI RW-000
Table 6-6
Bit 31-3 2-0 Field
Reserved PKDTDMA_PRI
For all other modules, see the respective User Guides in 1.10 Related Documentation from Texas Instruments on page 21 for programmable priority registers.
208
System Interconnect
SPRS835DAugust 2013
Table 7-2 shows addresses reserved for boot by the ARM CorePac.
Table 7-2 ARM Boot RAM Memory Map (Part 1 of 3)
Size 0xc00 0x80 0x5000 Context RAM not scrubbed on secure boot Global level 0 non-secure translation table Global non-secure page table for memory covering ROM Description Start Address 0xc57_e000 0xc58_6f80 0xc58_7000
209
PRODUCT PREVIEW
Start Address 0xc58_c000 0xc58_d000 0xc58_e000 0xc58_f000 0xc59_0000 0xc59_7f00 0xc5a_6e00 0xc5a_7000 0xc5a_a000 0xc5a_d000 0xc5a_d004 0xc5a_d008 0xc5a_d00c 0xc5a_e000 0xc5a_e400 0xc5a_e800 0xc5a_ec00 0xc5a_f000 0xc5a_f400 0xc5a_f800 0xc5a_fc00 0xc5b_0000 0xc5b_0180 0xc5b_0200 0xc5b_0300 0x5b_0400 0xc5b_0500 0xc5b_0600 0xc5b_1fe0 0xc5b_4000 0xc5b_4180 0xc5b_4200 0xc5b_4300 0x5b_4400 0xc5b_4500 0xc5b_4600 0xc5b_5fe0 0xc5b_8000 0xc5b_8180 0xc5b_8200 0xc5b_8300 0x5b_8400 0xc5b_8500 0xc5b_8600
PRODUCT PREVIEW
210
Table 7-2
Start Address 0xc5b_9fe0 0xc5b_c000 0xc5b_c180 0xc5b_c200 0xc5b_c300 0x5b_c400 0xc5b_c500 0xc5b_c600 0xc5b_dfe0 0xc5c_0000 End of Table 7-2
7.1.2 Boot Modes Supported The device supports several boot processes, which leverage the internal boot ROM. Most boot processes are software-driven, using the BOOTMODE[15:0] device configuration inputs to determine the software configuration that must be completed. From a hardware perspective, there are four possible boot modes: Public ROM Boot when the C6xx CorePac0 is the boot master The C66x CorePac is released from reset and begins executing from the L3 ROM base address. The ARM CorePac is also released from reset at the same time as the C66xCorePac. Both the C66x CorePac and the ARM CorePac read the bootmode register inside the bootCFG module to determine which is the boot master. After the Boot ROM for the Cortex-A15 processor reads the bootmode to determine that the C66x CorePac is the boot master, all Cortex-A15 processors stay idle by executing WFI instruction and waiting for the C66x CorePacs interrupt. The chip Boot ROM reads the bootmode register to determine that the C66x CorePac0 is the boot master, then the C66x CorePac0 performs the boot process and the other C66x CorePacs execute an IDLE instruction. After the boot process is completed, the C66x CorePac0 begins to execute the code downloaded during the boot process. If the downloaded code included code for the other C66x cores and/or the Cortex-A15 processor cores, the downloaded code may contain logic to write the code execution addresses to the boot address register for the core that is to execute it. The C66x CorePac0 can then generate an interrupt to the core causing it to execute the code. When they receive the IPC interrupt, the rest of the C66x CorePacs and the ARM CorePac complete boot management operations and begin executing from the predefined location in memory. Public ROM Boot when the ARM CorePac Core0 is the boot master The only difference between this boot mode and and when the C66x CorePac is the boot master, is that the ARM CorePac performs the boot process while the C66x CorePacs execute idle instructions. When the ARM CorePac Core0 finishes the boot process, it may send interrupts to the C66x CorePacs and Cortex-A15 processor cores through IPC registers. The C66x CorePacs complete the boot management operations and begin executing from the predefined locations. Secure ROM Boot when the C66x CorePac0 is the boot master The C66x CorePac0 and the ARM CorePac Core0 are released from reset simultaneously and the C66x CorePac0 begins executing from secure ROM. The C66x CorePac0 performs the boot process includingany authentication and decryption required on the bootloaded image for the C66x CorePacs and for the ARM CorePac prior to beginning execution. Secure ROM Boot when the ARM CorePac0 is the boot master The C66x CorePac0 and the ARM CorePac Core0 are released from reset simultaneously and begin executing from secure ROM. The ARM CorePac Core0 initiates the boot process. The C66x CorePac0 performs any authentication and decryption required on the bootloaded image for the C66x CorePacs and ARM CorePac prior to beginning execution.
211
PRODUCT PREVIEW
Secure MSMC
The boot process performed by the C66x CorePac0 and the ARM CorePac Core0 in public ROM boot and secure ROM boot are determined by the BOOTMODE[15:0] value in the DEVSTAT register. The C66x CorePac0 and the ARM CorePac Core0 read this value, and then execute the associated boot process in software. Bit 8 determines whether the boot is C66x CorePac boot or ARM CorePac boot. The figure below shows the bits associated with BOOTMODE[15:0] (DEVSTAT[16:1]) when the C66x CorePac or ARM CorePac is the boot master. Note that Figure 7-1 does not include bit 0 of the DEVSTAT contents. Bit 0 is used to select overall system endianess that is independent of the boot mode. The boot ROM will continue attempting to boot in this mode until successful or an unrecoverable error occurs. The PLL settings are shown at the end of this section, and the PLL set-up details can be found in Section 9.5 Main PLL, ARM PLL, DDR3A PLL, DDR3B PLL, PASS PLL and the PLL Controllers on page 286.
PRODUCT PREVIEW
NoteIt is important to keep in mind that BOOTMODE[15:0] pins map to DEVSTAT[16:1] bits of the
DEVSTAT register.
Figure 7-1
16 X 15 X
Mode SLEEP I C SLAVE I2C MASTER SPI EMIF (ARM Master) EMIF (DSP Master) NAND (ARM Master) NAND (DSP Master)
SlaveAddr X Width 0 X
Base Addr
1 Lane X PA clk
First Block
Clear
Ref Clock
Data Rate
ARM PLL CONFIG Lane Setup ARM PLL CONFIG Rsvd Lane Setup
SRIO (ARM Master) SRIO (DSP Master) Ethernet (ARM Master) Ethernet (DSP Master) PCIe (ARM Master) PCIe (DSP Master) HyperLink (ARM Master) HyperLink (DSP Master) UART (ARM Master) UART (DSP Master)
Ref clk
Ext Con
Ref clk
Bar Config
ARM PLL CONFIG SerDes Cfg ARM PLL CONFIG SerDes Cfg ARM PLL CONFIG X X X
Port X X
Ref clk X X X X
Data Rate X X
Port
Min
212
SPRS835DAugust 2013
The Boot Device field BOOTMODE[16-14-4-3-2-1] and the Boot Device field BOOTMODE[8] define the boot device and the boot master that is chosen. The following table shows the supported boot modes.
Table 7-3
Bit 16, 14, 4, 3, 2, 1
213
PRODUCT PREVIEW
The device configuration fieldsDEVSTAT[16:1] are used to configure the boot peripheral and, therefore, the bit definitions depend on the boot mode.
7.1.2.2.1 Sleep Boot Mode Configuration Figure 7-2
16 X 15 X
ARMen SYSEN
Table 7-4
PRODUCT PREVIEW
Bit 16-15 14
13
ARMen
12
SYSEN
11-9 8
ARM PLL Setting The PLL default settings are determined by the [11:9] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. Boot Master Boot Master select 0 = ARM is boot master 1 = C66x is boot master The PLL default settings are determined by the [7:5] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. Minimum boot configuration select bit. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. When Min = 1, a predetermined set of values is configured (see the Device Configuration Field Descriptions table for configuration bits with a "(default)" tag added in the description column). When Min = 0, all fields must be independently configured.
7-5 4
3-1
Boot Devices
Boot Devices[3:1] used in conjunction with Boot Device [14] 000 = Sleep Others = Other boot modes Endianess (device) 0 = Big endian 1 = Little endian
Lendian
214
SPRS835DAugust 2013
2
In passive mode, the device does not drive the clock, but simply acks data received on the specified address.
Figure 7-3
16 15
Slave Addr
Table 7-5
Bit 16-15 Field
Slave Addr
14
Boot Devices
13-12
Port
11-9 8
ARM PLL Setting The PLL default settings are determined by the [11:9] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. Boot Master Boot Master select 0 = ARM is boot master 1 = C66x is boot master The PLL default settings are determined by the [7:5] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. Minimum boot configuration select bit. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. When Min = 1, a predetermined set of values is configured (see the Device Configuration Field Descriptions table for configuration bits with a "(default)" tag added in the description column). When Min = 0, all fields must be independently configured.
7-5 4
3-1
Boot Devices
Boot Devices[3:1] used in conjunction with Boot Device [14] 000 = I2C Slave Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
Lendian
215
PRODUCT PREVIEW
In master mode, the I C device configuration uses ten bits of device configuration instead of seven as used in other 2 boot modes. In this mode, the device makes the initial read of the I C EEPROM while the PLL is in bypass mode. The initial read contains the desired clock multiplier, which must be set up prior to any subsequent reads.
Figure 7-4
16 15 Reserved 14
2 I C Master Mode Device Configuration Fields
DEVSTAT Boot Mode Pins ROM Mapping 13 12 11 10 9 8 Boot Master 7 Reserved 6 5 4 Min 3 2 001 1 0 Lendian
Bus Addr
Param ldx/Offset
Port
Table 7-6
Bit 16-14 Field
PRODUCT PREVIEW
13-12
11-9
Boot Master
Boot Master select 0 = ARM is boot master 1 = C66x is boot master Reserved I C port number 0 = I2C0 (default) 2 1 = I C1 2 2 = I C2 3 = Reserved Minimum boot configuration select bit. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. When Min = 1, a predetermined set of values is configured (see the Device Configuration Field Descriptions table for configuration bits with a "(default)" tag added in the description column). When Min = 0, all fields must be independently configured.
2
7 6-5
Reserved Port
Min
3-1
Boot Devices
Boot Devices[3:1] 2 001 = I C Master Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
Lendian
Mode
Param ldx/Offset
Port
216
Table 7-7
Bit 16
15-14 13-12
Csel Mode
Boot Master
Boot Master select 0 = ARM is boot master (default) 1 = C66x is boot master Selected Chip Select driven 0 = CS0 to the selected chip select is driven 1 = CS0-CS4 to the selected chip select are driven (default) Specify SPI port 0 = SPI0 used (default) 1 = SPI1 used 2 = SPI2 used 3 = Reserved Minimum boot configuration select bit. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. When Min = 1, a predetermined set of values is configured (see the Device Configuration Field Descriptions table for configuration bits with a "(default)" tag added in the description column). When Min = 0, all fields must be independently configured.
Npin
6-5
Port
Min
3-1
Boot Devices
Boot Devices[3:1] 010 = SPI boot mode Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
Lendian
217
PRODUCT PREVIEW
11-9
Param Idx/Offset
15-14 13
12
Width
PRODUCT PREVIEW
11-9
Boot Master
Boot Master select 0 = ARM is boot master 1 = C66x is boot master The PLL default settings are determined by the [7:5] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. Boot Devices[4] used conjunction with Boot Devices[16] and Boot Devices [Use din conjunction with bits 3-1] 0 = EMIF boot mode 1 = Other boot modes Boot Devices[3:1] used in conjunction with Boot Device [4] 011 = EMIF boot mode Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
7-5 4
3-1
Boot Devices
Lendian
218
SPRS835DAugust 2013
Table 7-9
Bit 16 Field
15-13 12
11-9
Boot Master
Boot Master select 0 = ARM is boot master (default) 1 = C66x is boot master The PLL default settings are determined by the [7:5] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. Minimum boot pin select. When Min is 1, it means that the BOOTMODE [15:3] pins are don't cares. Only BOOTMODE [2:0] pins (DEVSTAT[3:1]) will determine boot. Default values are assigned to values that would normally be set by the other BOOTMODE pins when Min is 0. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. Boot Devices 011 = NAND boot mode Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
7-5 4
3-1
Boot Devices
Lendian
The device ID is always set to 0xff (8-bit node IDs) or 0xffff (16 bit node IDs) at power-on reset.
Figure 7-8
16 X Lane 15
219
PRODUCT PREVIEW
When Boot Master =1 (C66x is Boot Master), Pin[10:9] used as Chip Sel that specifies the chip select region, CS2-CS5. 00 = CS2 01 = CS3 10 = CS4 11 = CS5
15-14
Ref Clock
13-12
Data Rate
PRODUCT PREVIEW
11-9
Boot Master
Boot Master select 0 = ARM is boot master (default) 1 = C66x is boot master The PLL default settings are determined by the [7:5] bits. This will set the PLL to the maximum clock setting for the device. Default system reference clock is 156.25 MHz. Table 7-27 shows settings for various input clock frequencies. (default = 4) Minimum boot configuration select bit. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. When Min = 1, a predetermined set of values is configured (see the Device Configuration Field Descriptions table for configuration bits with a "(default)" tag added in the description column). When Min = 0, all fields must be independently configured.
7-5
Min
3-1
Boot Devices
Boot Devices 100 = SRIO boot mode Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
Lendian
In SRIO boot mode, both the message mode and DirectIO mode will be enabled by default. If use of the memory reserved for received messages is required and reception of messages cannot be prevented, the master can disable the message mode by writing to the boot table and generating a boot restart.
220
SPRS835DAugust 2013
Table 7-11
Bit 16 Field Pa clk
15-14
Ref Clock
13-12
Ext Con
11-9
Boot Master
Boot Master select 0 = ARM is boot master (default) 1 = C66x is boot master The PLL default settings are determined by the [7:5] bits. This will set the PLL to the maximum clock setting for the device. Default system reference clock is 156.25 MHz. Table 7-27 shows settings for various input clock frequencies. (default = 4) Minimum boot configuration select bit. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. When Min = 1, a predetermined set of values is configured (see the Device Configuration Field Descriptions table for configuration bits with a "(default)" tag added in the description column). When Min = 0, all fields must be independently configured.
7-5
Min
3-1
Boot Devices
Boot Devices 101 = Ethernet boot mode Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
Lendian
221
PRODUCT PREVIEW
0110 0110
Table 7-12
Bit 16 Field Ref clk
PRODUCT PREVIEW
15-12 11-9
Boot Master
7-5
4-1
Boot Devices
Lendian
222
Table 7-13
BAR cfg 0b0000 0b0001 0b0010 0b0011 0b0100 0b0101 0b0110 0b0111 0b1000 0b1001 0b1010 0b1011 0b1100 0b1101 0b1110 0b1111
128 256 256 256 512 1024 2048 256 512 1024 2048
RefClk RefClk
1110 1110
Table 7-14
Bit 16 Field Port
15-14
Ref Clocks
13-12
Data Rate
11-9
Reserved/ARM When Boot Master =0 (ARM is Boot Master), pin[11:9] used as ARM PLL Setting. The PLL default settings are determined by the PLL Setting [11:9] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. When Boot Master =1 (C66x is Boot Master), pin [10:9] are reserved. Boot Master Boot Master select 0 = ARM is boot master (default) 1 = C66x is boot master
223
PRODUCT PREVIEW
256
Lendian
PRODUCT PREVIEW
Figure 7-12
16 X X 15 X X
Table 7-15
Bit 16-13 12 Field
Reserved Port
11-9 8
ARM PLL Setting The PLL default settings are determined by the [11:9] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. Boot Master Boot Master select 0 = ARM is boot master 1 = C66x is boot master The PLL default settings are determined by the [7:5] bits. This will set the PLL to the maximum clock setting for the device. Table 7-27 shows settings for various input clock frequencies. (default = 4) Minimum boot configuration select bit. 0 = Minimum boot pin select disabled 1 = Minimum boot pin select enabled. When Min = 1, a predetermined set of values is configured (see the Device Configuration Field Descriptions table for configuration bits with a "(default)" tag added in the description column). When Min = 0, all fields must be independently configured.
7-5 4
3-1
Boot Devices
Boot Devices[3:1] 111 = UART boot mode Others = Other boot modes Endianess 0 = Big endian 1 = Little endian
Lendian
224
SPRS835DAugust 2013
The ROM Bootloader (RBL) uses a set of tables to carry out the boot process. The boot parameter table is the most common format the RBL employs to determine the boot flow. These boot parameter tables have certain parameters common across all the boot modes, while the rest of the parameters are unique to the boot modes. The common entries in the boot parameter table are shown in table Table 7-16.
Table 7-16
Byte Offset 0 2 4 6 8 10 12 14 16 18 20 Name Length Checksum Boot Mode Port Num SW PLL, MSW SW PLL, LSW Sec PLL Config, MSW Sec PLL Config, LSW System Freq Core Freq Boot Master
24 26 28 30 32 34
Type Branch Address MSW Branch Address LSW Chip Select Memory Width Wait Enable
36 38
NO NO
225
PRODUCT PREVIEW
PRODUCT PREVIEW
26 28 30 32 34 36 38 40 44 48 52 56 60 64 68 72 76 80
Reserved Node ID SerDes ref clk Link Rate PF Low PF High Promiscuous Mask Timeout Sec SERDES Aux, MSW SERDES Aux, LSW
NA NO YES YES NO NO NO NO NO NO NO NO NO NO NO NO NO NO
SERDES Rx Lane0 MSW SERDES Rx Configuration, Lane0, MSW SERDES Rx Lane0 LSW SERDES Rx Configuration, Lane0, LSW
SERDES Rx Lane1 MSW SERDES Rx Configuration, Lane1, MSW SERDES Rx Lane1 LSW SERDES Rx Configuration, Lane1, LSW
SERDES Rx Lane2 MSW SERDES Rx Configuration, Lane2, MSW SERDES Rx Lane2 LSW SERDES Rx Configuration, Lane2, LSW
SERDES Rx Lane3 MSW SERDES Rx Configuration, Lane3, MSW SERDES Rx Lane3 LSW SERDES Rx Configuration, Lane3, LSW
226
SPRS835DAugust 2013
Bits 06 - 05 Initialize Config 00 = Switch, SerDes, SGMII and PASS are configured 01 = Initialization is not done for the peripherals that are already enabled and running. 10 = Reserved 11 = None of the Ethernet system is configured. Bits 15 - 07 Reserved 24 26 28 30 32 34 36 38 40 42 44 46 48 50 MAC High MAC Med MAC Low Multi MAC High Multi MAC Med Multi MAC Low Source Port Dest Port Device ID 12 Device ID 34 Dest MAC High Dest MAC Med Dest MAC Low Lane Enable The 16 MSBs of the MAC address to receive during boot The 16 middle bits of the MAC address to receive during boot The 16 LSBs of the MAC address to receive during boot The 16 MSBs of the multi-cast MAC address to receive during boot The 16 middle bits of the multi-cast MAC address to receive during boot The 16 LSBs of the multi-cast MAC address to receive during boot The source UDP port to accept boot packets from. A value of 0 will accept packets from any UDP port The destination port to accept boot packets on. The first two bytes of the device ID. This is typically a string value, and is sent in the Ethernet ready frame The 2nd two bytes of the device ID. The 16 MSBs of the MAC destination address used for the Ethernet ready frame. Default is broadcast. The 16 middle bits of the MAC destination address The 16 LSBs of the MAC destination address One bit per lane. 0 - Lane disabled 1 - Lane enabled Bits 0-3 are the config index, bit 4 set if direct config used, bit 5 set if no configuration done The SGMII control register value The SGMII ADV Ability register value The 16 MSBs of the SGMII Tx config register The 16 LSBs of the SGMII Tx config register The 16 MSBs of the SGMII Rx config register The 16 LSBs of the SGMII Rx config register The 16 MSBs of the SGMII Aux config register The 16 LSBs of the SGMII Aux config register NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO
52 54 56 58 60 62 64 66 68
SGMII Config SGMII Control SGMII Adv Ability SGMII TX Cfg High SGMII TX Cfg Low SGMII RX Cfg High SGMII RX Cfg Low SGMII Aux Cfg High SGMII Aux Cfg Low
227
PRODUCT PREVIEW
Bit 4 Skip TX 0 = Send Ethernet Ready Frame every 3 seconds 1 = Don't send Ethernet Ready Frame
PRODUCT PREVIEW
24 26 28
PCI address width, can be 32 or 64 SerDes frequency, in Mbps. Can be 2500 or 5000
NO Reference clock frequency, in units of 10 kHz. Value values are 10000 (100 MHz), 12500 (125 MHz), 15625 (156.25 MHz), 25000 (250 MHz) and 31250 (312.5 MHz). A value of 0 means that value is already in the SerDes cfg parameters and will not be computed by the boot ROM. Window 1size. Window 2 size. Window 3 size. Valid only if address width is 32. Window 4 Size. Valid only if the address width is 32. Vendor ID Device ID Class code revision ID MSW Class code revision ID LSW PCIe SerDes config word, MSW PCIe SerDes config word, LSW SerDes lane config word, msw lane 0 SerDes lane config word, lsw, lane 0 SerDes lane config word, msw, lane 1 SerDes lane config word, lsw, lane 1 The timeout period. Values 0 disables the time out YES YES YES YES NO NO NO NO NO NO NO NO NO NO
30 32 34 36 38 40 42 44 46 48 50 52 54 56 58
Window 1 Size Window 2 Size Window 3 Size Window 4 Size Vendor ID Device ID Class code Rev ID MSW Class code Rev ID LSW SerDes cfg msw SerDes cfg lsw SerDes lane 0 cfg msw SerDes lane 0 cfg lsw SerDes lane 1 cfg msw SerDes lane 1 cfg lsw Timeout period (Secs)
228
SPRS835DAugust 2013
2
Table 7-21
Offset Field 22 Option
24 26 28 30 34 36 38 40
Boot Dev Addr Boot Dev Addr Ext Broadcast Addr Local Address Bus Frequency Next Dev Addr Next Dev Addr Ext Address Delay
YES YES NO NO NO NO NO NO
24 26 28 30 32 34 36 38 40 42 44
Address Width NPin Chipsel Mode C2Delay Bus Freq, 100kHz Read Addr MSW Read Addr LSW Next Chip Select Next Read Addr MSW Next Read Addr LSW
229
PRODUCT PREVIEW
14 16 18
Number of Lanes SerDes cfg msw SerDes cfg lsw SerDes CFG RX lane 0 cfg msw SerDes CFG RXlane 0 cfg lsw SerDes CFG TX lane 0 cfg msw SerDes CFG TXlane 0 cfg lsw SerDes CFG RX lane 1 cfg msw SerDes CFG RXlane 1 cfg lsw SerDes CFG TX lane 1 cfg msw SerDes CFG TXlane 1 cfg lsw SerDes CFG RX lane 2 cfg msw SerDes CFG RXlane 2 cfg lsw SerDes CFG TX lane 2 cfg msw SerDes CFG TXlane 2 cfg lsw SerDes CFG RX lane 3 cfg msw SerDes CFG RXlane 3 cfg lsw SerDes CFG TX lane 3 cfg msw SerDes CFG TXlane 3 cfg lsw
Number of Lanes to be configured PCIe SerDes config word, MSW PCIe SerDes config word, LSW SerDes RX lane config word, msw lane 0 SerDes RX lane config word, lsw, lane 0 SerDes TX lane config word, msw lane 0 SerDes TX lane config word, lsw, lane 0 SerDes RX lane config word, msw lane 1 SerDes RX lane config word, lsw, lane 1 SerDes TX lane config word, msw lane 1 SerDes TX lane config word, lsw, lane 1 SerDes RX lane config word, msw lane 2 SerDes RX lane config word, lsw, lane 2 SerDes TX lane config word, msw lane 2 SerDes TX lane config word, lsw, lane 2 SerDes RX lane config word, msw lane 3 SerDes RX lane config word, lsw, lane 3 SerDes TX lane config word, msw lane 3 SerDes TX lane config word, lsw, lane 3
NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO NO
PRODUCT PREVIEW
20 22 24 26 28 30 32 34 36 38 40 42 44 46
26
Protocol
NO
28 30 32 34 36
Initial NACK Count Max Err Count NACK Timeout Character Timeout nDatabits
NO NO NO NO NO
230
Table 7-24
Byte Offset 38
40 42 44
NO NO NO
46 48
NO NO
24 26 28 30 32 34 36 38 40
numColumnAddrBytes numRowAddrBytes numofDataBytesperPage_msw numofDataBytesperPage_lsw numPagesperBlock busWidth numSpareBytesperPage csel First Block
Number of bytes used to specify column address Number of bytes used to specify row address. Number of data bytes in each page, MSW Number of data bytes in each page, LSW Number of Pages per Block EMIF bus width. Only 8 or 16 bits is supported. Number of spare bytes allocated per page. Chip Select number (valid chip selects are 2-5) First block for RBL to try to read.
NO NO NO NO NO NO NO YES (If ARM is the boot master only chip select 2 is supported) YES
231
PRODUCT PREVIEW
The RBL also provides an option to configure the DDR table before loading the image into the external memory. More information on how to configure the DDR3, refer to the Bootloader User Guide. The configuration table for DDR3 is shown in Table 7-26
Table 7-26
Byte Offset 0 4 8
PRODUCT PREVIEW
232
SPRS835DAugust 2013
Any of the boot modes can be used to download a second-level bootloader. A second-level bootloader allows for: Any level of customization to current boot methods Definition of a completely customized boot 7.1.3 SoC Security The TI SoC contains security architecture that allows the C66x CorePacs and ARM CorePac to perform secure accesses within the device. For more information, contact a TI sales office for additional information available with the purchase of a secure device. 7.1.4 System PLL Settings
The configuration for the PASS PLL is also shown. The PASS PLL is configured with these values only if the Ethernet boot mode is selected with the input clock set to match the main PLL clock (not the SGMII SerDes clock). See Table 7-11 for details on configuring Ethernet boot mode. The output from the PASS PLL goes through an on-chip divider to reduce the frequency before reaching the NETCP. The PASS PLL generates 1050 MHz, and after the chip divider (/3), applies 350 MHz to the NETCP. The Main PLL is controlled using a PLL controller and a chip-level MMR. The ARM CorePac PLL, DDR3A PLL, DDR3B PLL and PASS PLL are controlled by chip level MMRs. For details on how to set up the PLL see Section 9.5 Main PLL, ARM PLL, DDR3A PLL, DDR3B PLL, PASS PLL and the PLL Controllers on page 286.For details on the operation of the PLL controller module, see the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21.
Table 7-27
BOOTMODE [7:5] 0b000 0b001 0b010 0b011 0b100 0b101 0b110 0b111
The PLL default settings are determined by the BOOTMODE[11:9] bits. Table 7-28 shows settings for various input clock frequencies. This will set the PLL to the maximum clock setting for the device. CLK = CLKIN (PLLM+1) (2 (PLLD+1))
233
PRODUCT PREVIEW
The PLL default settings are determined by the BOOTMODE[7:5] bits. Table 7-27 shows the settings for various input clock frequencies. This will set the PLL to the maximum clock setting for the device. CLK = CLKIN (PLLM+1) (2 (PLLD+1))
The ARM CorePac PLL is controlled using a PLL controller and a chip-level MMR. For details on how to set up the PLL see Section 9.5 Main PLL, ARM PLL, DDR3A PLL, DDR3B PLL, PASS PLL and the PLL Controllers on page 286. For details on the operation of the PLL controller module, see the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21.
Table 7-28
BOOTMODE [11:9] 0b000 0b001 0b010 0b011 0b100
PRODUCT PREVIEW
234
SPRS835DAugust 2013
Functional Description Device endian mode (LENDIAN) 0 = Device operates in big endian mode 1 = Device operates in little endian mode Method of boot See Boot Modes Supported on page 211 for more details. See the Bootloader for the C66x DSP User Guide in 1.10 Related Documentation from Texas Instruments on page 21for detailed information on boot configuration. AVS interface selection 00 - AVS 4pin 6bit Dual-Phase VCNTL[5:2] (Default) 01 - AVS 4pin 4bit Single-Phase VCNTL[5:2] 10 - AVS 6pin 6bit Single-Phase VCNTL[5:0] 2 11 - I C Main PLL Output divider select 0 = Main PLL output divider needs to be set to 2 by BOOTROM 1 = Reserved ARM AVS Shared with the rest of SOC AVS 0 = ARM Core voltage and rest of SoC core voltage independent. 1= ARM Core voltage and rest of SoC core voltage shared bootstrap_reserved. Pulldown resistor required on pin. Control ARM remapping of DDR3A address space in the lower 4GB (32b space) Mode select 0 = DDR3A memory is accessible from ARM at 0x08 0000 0000 - 0x09 FFFF FFFF. 1 = DDR3A memory is accessible from ARM at 0x00 8000 0000 - 0x00 FFFF FFFF with 0x00 8000 0000 - 0x00 FFFF FFFF aliased at 0x08 0000 0000 - 0x08 FFFF FFFF.
BOOTMODE[15:0]
(1) (2)
IPD B31, E32, A31, F30, E30, F31, G30, A30, C30, D30, E29, B29, A35, D29, B30, F29 M1, M2 IPD
AVSIFSEL[1:0]
(1) (2)
MAINPLLODSEL
(1) (2)
E32
IPD
ARMAVSSHARED(1)
G24
IPU
ARM_LENDIAN
(1) (1)
B31 A36
IPD IPD
DDR3A_MAP_EN
235
PRODUCT PREVIEW
7.2.2 Peripheral Selection After Device Reset Several of the peripherals on the TCI6636K2H are controlled by the Power Sleep Controller (PSC). By default, the PCIe, SRIO, HyperLink, RAC, TAC, FFTC, AIF2, TCP3d, TCP3e, and VCP are held in reset and clock-gated. The memories in these modules are also in a low-leakage sleep mode. Software is required to turn these memories on. Then, the software enables the modules (turns on clocks and de-asserts reset) before these modules can be used. If one of the above modules is used in the selected ROM boot mode, the ROM code automatically enables the module. All other modules come up enabled by default and there is no special software sequence to enable. For more detailed information on the PSC usage, see the Power Sleep Controller (PSC) for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21.
PRODUCT PREVIEW
7.2.3 Device State Control Registers The TCI6636K2H device has a set of registers that are used to control the status of its peripherals. These registers are shown in Table 7-30.
Table 7-30
Address Start 0x02620000 0x02620008 0x02620018 0x0262001C 0x02620020 0x02620024 0x02620038 0x0262003C 0x02620040 0x02620044 0x02620048 0x0262004C 0x02620050 0x02620054 0x02620058 0x0262005C 0x02620060 0x026200E0 0x02620110 0x02620118 0x02620130 0x02620134 0x02620138 0x0262013C 0x02620140 0x02620144 0x02620148 0x0262014C
236
Table 7-30
Address Start 0x02620150 0x02620154 0x02620158 0x0262015C 0x02620160 0x02620164 0x02620168 0x0262016C 0x02620180 0x02620184 0x02620190 0x02620194 0x02620198 0x0262019C 0x026201A0 0x026201A4 0x026201A8 0x026201AC 0x026201B0 0x026201B4 0x026201B8 0x026201BC 0x026201C0 0x026201C4 0x026201C8 0x026201CC 0x026201D0 0x02620200 0x02620204 0x02620208 0x0262020C 0x02620210 0x02620214 0x02620218 0x0262021C 0x02620220
237
PRODUCT PREVIEW
PRODUCT PREVIEW
238
Table 7-30
Address Start 0x02620350 0x02620354 0x02620358 0x0262035C 0x02620360 0x02620364 0x02620368 0x0262036C 0x02620370 0x02620374 0x02620378 0x0262039C 0x02620400 0x02620404 0x02620408 0x0262040C 0x02620600 0x02620700 0x02620704 0x02620710 0x02620714 0x02620718 0x0262071C 0x02620720 0x02620730 0x02620734 0x02620738 0x02620750 0x02620800 0x02620C7C 0x02620C80 0x02620C98 0x02620C9C
1148B Reserved 4B 24B 4B 868B CHIP_MISC_CTL1 Reserved DEVSPEED Reserved See section Device Speed (DEVSPEED) Register on page 256 See section Chip Miscellaneous Control (CHIP_MISC_CTL1) Register on page 259
239
PRODUCT PREVIEW
The Device Status Register depicts device configuration selected upon a power-on reset by the POR or RESETFULL pin. Once set, these bits remain set until a power-on reset. The Device Status Register is shown in the figure below.
Figure 7-13
31 Reserved R-0000 0000 0000 00
24 Reserved R-x
22
21 ARMAVSSHARED R/W-x
20 Reserved
19 MAINPLLODSEL R/W-x
18 AVSIFSEL R/W-xx
17
0 LENDIAN R-x
(1)
PRODUCT PREVIEW
R-x
Table 7-31
Bit 31-26 25 Field
Reserved DDR3A_MAP_EN
24-22 21
Reserved ARMAVSSHARED
20 19
Reserved MAINPLLODSEL
18-17
AVSIFSEL
16-1
BOOTMODE
LENDIAN
240
SPRS835DAugust 2013
The Device Configuration Register is one-time writeable through software. The register is reset on all hard resets and is locked after the first write. The Device Configuration Register is shown in Figure 7-14 and described in Table 7-32.
Figure 7-14
31 Reserved R-0 Legend: R = Read only; RW = Read/Write; -n = value after reset
Description Reserved. Read only, writes have no effect. Device Type Input of PCIeSS 00 = Endpoint 01 = Legacy Endpoint 10 = Rootcomplex 11 = Reserved) SYSCLKOUT enable 0 = No clock output 1 = Clock output enabled (default)
SYSCLKOUTEN
The JTAG ID register is a read-only register that identifies to the customer the JTAG/Device ID. For the device, the JTAG ID register resides at address location 0x02620018. The JTAG ID Register is shown below.
Figure 7-15
31 VARIANT R-xxxx
Table 7-33
Bit 31-28 27-12 11-1 0 Field
NoteThe value of the VARIANT and PART NUMBER fields depends on the silicon revision being used.
241
PRODUCT PREVIEW
Table 7-32
The Bootcfg module contains a kicker mechanism to prevent spurious writes from changing any of the Bootcfg MMR (memory mapped registers) values. When the kicker is locked (which it is initially after power on reset), none of the Bootcfg MMRs are writable (they are only readable). This mechanism requires an MMR write to each of the KICK0 and KICK1 registers with exact data values before the kicker lock mechanism is unlocked. See Table 7-30 Device State Control Registers on page 236 for the address location. Once released, all the Bootcfg MMRs having write permissions are writable (the read only MMRs are still read only). The KICK0 data is 0x83e70b13. The KICK1 data is 0x95a4f1e0. Writing any other data value to either of these kick MMRs locks the kicker mechanism and blocks writes to Bootcfg MMRs. To ensure protection to all Bootcfg MMRs, software must always re-lock the kicker mechanism after completing the MMR writes.
7.2.3.5 LRESETNMI PIN Status (LRSTNMIPINSTAT) Register
The LRSTNMIPINSTAT Register latches the status of LRESET and NMI based on the setting of CORESEL[2:0]. The LRESETNMI PIN Status Register is shown in the figure and table below.
Figure 7-16
31 Reserved R-0
PRODUCT PREVIEW
Table 7-34
Bit 31-16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 Field
Reserved NMI7 NMI6 NMI5 NM4 NMI3 NMI2 NMI1 NMI0 LR7 LR6 LR5 LR4 LR3 LR2 LR1 LR0
242
SPRS835DAugust 2013
The LRSTNMIPINSTAT_CLR Register clears the status of LRESET and NMI based on CORESEL[2:0]. The LRESETNMI PIN Status Clear Register is shown in the figure and table below.
Figure 7-17
31 Reserved R-0
Bit 31-16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
Field Reserved NMI7 NM6 NMI5 NMI4 NMI3 NMI2 NMI1 NMI0 LR7 LR6 LR5 LR4 LR3 LR2 LR1 LR0
Description Reserved C66x CorePac7 in NMI Clear C66x CorePac6 in NMI Clear C66x CorePac5 in NMI Clear C66x CorePac4 in NMI Clear C66x CorePac3 in NMI Clear C66x CorePac2 in NMI Clear C66x CorePac1 in NMI Clear C66x CorePac0 in NMI Clear C66x CorePac7 in Local Reset Clear C66x CorePac6 in Local Reset Clear C66x CorePac5 in Local Reset Clear C66x CorePac4 in Local Reset Clear C66x CorePac3 in Local Reset Clear C66x CorePac2 in Local Reset Clear C66x CorePac1 in Local Reset Clear C66x CorePac0 in Local Reset Clear
The Reset Status Register (RESET_STAT) captures the status of local reset (LRx) for each of the cores and also the global device reset (GR). Software can use this information to take different device initialization steps. In case of local reset: The LRx bits are written as 1 and the GR bit is written as 0 only when the C66x CorePac receives a local reset without receiving a global reset. In case of global reset: The LRx bits are written as 0 and the GR bit is written as 1 only when a global reset is asserted.
243
PRODUCT PREVIEW
Table 7-35
The Reset Status Register is shown in the figure and table below.
Figure 7-18
31 GR R, +1 30 Reserved R, + 000 0000 0000 0000 0000 0000
Table 7-36
Bit 31 GR
PRODUCT PREVIEW
30-8 7
Reserved LR7
LR6
LR5
LR4
LR3
LR2
LR1
LR0
The RESET_STAT bits can be cleared by writing 1 to the corresponding bit in the RESET_STAT_CLR register. The Reset Status Clear Register is shown in the figure and table below.
Figure 7-19
31 GR RW, +0 30 Reserved R, + 000 0000 0000 0000 0000 0000
244
Table 7-37
Bit 31 Field GR
30-8 7
Reserved LR7
LR6
LR5
LR4
C66x CorePac4 reset clear bit 0 = Writing a 0 has no effect. 1 = Writing a 1 to the LR4 bit clears the corresponding bit in the RESET_STAT register. C66x CorePac3 reset clear bit 0 = Writing a 0 has no effect. 1 = Writing a 1 to the LR3 bit clears the corresponding bit in the RESET_STAT register. C66x CorePac2 reset clear bit 0 = Writing a 0 has no effect. 1 = Writing a 1 to the LR2 bit clears the corresponding bit in the RESET_STAT register. C66x CorePac1 reset clear bit 0 = Writing a 0 has no effect. 1 = Writing a 1 to the LR1 bit clears the corresponding bit in the RESET_STAT register. C66x CorePac0 reset clear bit 0 = Writing a 0 has no effect. 1 = Writing a 1 to the LR0 bit clears the corresponding bit in the RESET_STAT register.
LR3
LR2
LR1
LR0
The BOOTCOMPLETE register controls the BOOTCOMPLETE pin status to indicate the completion of the ROM booting process. The Boot Complete Register is shown in the figure and table below.
Figure 7-20
31 12
245
PRODUCT PREVIEW
C66x CorePac5 reset clear bit 0 = Writing a 0 has no effect. 1 = Writing a 1 to the LR5 bit clears the corresponding bit in the RESET_STAT register.
10
BC10
BC9
BC8
PRODUCT PREVIEW
BC7
BC6
BC5
BC4
BC3
BC2
BC1
BC0
The BCx bit indicates the boot complete status of the corresponding C66x CorePac. All BCx bits are sticky bits that is, they can be set only once by the software after device reset and they will be cleared to 0 on all device resets (warm reset and power-on reset). Boot ROM code is implemented such that each C66x CorePac sets its corresponding BCx bit immediately before branching to the predefined location in memory.
246
SPRS835DAugust 2013
The Power State Control Register (PWRSTATECTL) is controlled by the software to indicate the power-saving mode. Under ROM code, the C66x CorePac reads this register to differentiate between the various power saving modes. This register is cleared only by POR and is not changed by any other device reset. See the Hardware Design Guide for KeyStone Devices in 1.10 Related Documentation from Texas Instruments on page 21 for more information. The PWRSTATECTL Register is shown in Figure 7-21 and described in Table 7-39.
Figure 7-21
31
Table 7-39
Bit 31-10 9 Field
Wait
Recovery Master
6-5
4-3 2
Hibernation
Reserved
247
PRODUCT PREVIEW
NMIGRx registers generate NMI events to the corresponding C66x CorePac. The TCI6636K2H has eight NMIGRx registers (NMIGR0 through NMIGR7). The NMIGR0 register generates an NMI event to C66x CorePac0, the NMIGR1 register generates an NMI event to C66x CorePac1, and so on. Writing a 1 to the NMIG field generates an NMI pulse. Writing a 0 has no effect and Reads return 0 and have no other effect. The NMI event generation to the C66x CorePac is shown in Figure 7-22 and described in Table 7-40.
Figure 7-22
31 Reserved R, +0000 0000 0000 0000 0000 0000 0000 000 Legend: RW = Read/Write; -n = value after reset
PRODUCT PREVIEW
Table 7-40
Bit 31-1 0 Field
Reserved NMIG
The IPCGRx Registers facilitate inter-C66x CorePac interrupts. The TCI6636K2H has twelve IPCGRx registers (IPCGR0 through IPCGR11 ). These registers can be used by external hosts or CorePacs to generate interrupts to other CorePacs. A write of 1 to the IPCG field of the IPCGRx register generates an interrupt pulse to the C66x CorePacx (0 <= x <= 7) or ARM CorePac core (x-8) (8<=x<=11). These registers also provide a Source ID facility identifying up to 28 different sources of interrupts. Allocation of source bits to source processor and meaning is entirely based on software convention. The register field descriptions are given in the following tables. There can be numerous sources for these registers as this is completely controlled by software. Any master that has access to BOOTCFG module space can write to these registers. The IPC Generation Register is shown in Figure 7-23 and described in Table 7-41.
Figure 7-23
31 SRCS27 RW +0 30 SRCS26 RW +0
248
Table 7-41
Bit 31-4 Field SRCSx
3-1 0
Reserved IPCG
The IPCARx registers facilitate inter-CorePac interrupt acknowledgement. The TCI6636K2H has twelve IPCARx (IPCAR0 through IPCAR11) registers. These registers also provide a Source ID facility by which up to 28 different sources of interrupts can be identified. Allocation of source bits to source processor and meaning is entirely based on software convention. The register field descriptions are given in the following tables. Virtually anything can be a source for these registers as this is completely controlled by software. Any master that has access to BOOTCFG module space can write to these registers. The IPC Acknowledgement Register is shown in the following figure and table.
Figure 7-24
31 SRCC27 RW +0 30 SRCC26 RW +0
Table 7-42
Bit 31-4 Field SRCCx
3-0
Reserved
Reserved
The IPCGRH register facilitates interrupts to external hosts. Operation and use of the IPCGRH register is the same as for other IPCGR registers. The interrupt output pulse created by the IPCGRH register appears on device pin HOUT.
249
PRODUCT PREVIEW
The host interrupt output pulse is stretched so that it is asserted for four bootcfg clock cycles (SYSCLK1/6) followed by a deassertion of four bootcfg clock cycles. Generating the pulse results in a pulse-blocking window that is eight SYSCLK1/6-cycles long. Back-to-back writes to the IPCRGH register with the IPCG bit (bit 0) set, generates only one pulse if the back-to-back writes to IPCGRH are less than the eight SYSCLK1/6 cycle window the pulse blocking window. To generate back-to-back pulses, the back-to-back writes to the IPCGRH register must be written after the eight SYSCLK1/6 cycle pulse-blocking window has elapsed. The IPC Generation Host Register is shown in Figure 7-25 and described in Table 7-43.
Figure 7-25
31 SRCS27 RW +0 30 SRCS26 RW +0
PRODUCT PREVIEW
Table 7-43
Bit 31-4 Field SRCSx
3-1 0
Reserved IPCG
Reserved Reads return 0. Writes: 0 = No effect 1 = Creates an interrupt pulse on device pin (host interrupt/event output in HOUT pin)
The IPCARH register facilitates external host interrupts. Operation and use of the IPCARH register is the same as for other IPCAR registers. The IPC Acknowledgement Host Register is shown in Figure 7-26 and described in Table 7-44.
Figure 7-26
31 SRCC27 RW +0 30 SRCC26 RW +0
Table 7-44
Bit 31-4 Field SRCCx
3-0
Reserved
Reserved
250
SPRS835DAugust 2013
The Timer Input Selection Register selects timer inputs and is shown in Figure 7-27 and described in Table 7-45.
Figure 7-27
31 TINPHSEL15 RW, +0 23 TINPHSEL11 RW, +0 15 TINPHSEL7 RW, +0 7 TINPHSEL3 RW, +0
Table 7-45
Bit 31 Field
TINPHSEL15
30
TINPLSEL15
29
TINPHSEL14
28
TINPLSE14
27
TINPHSEL13
26
TINPLSEL13
25
TINPHSEL12
24
TINPLSEL12
23
TINPHSEL11
251
PRODUCT PREVIEW
21
TINPHSEL10
20
TINPLSEL10
19
TINPHSEL9
PRODUCT PREVIEW
18
TINPLSEL9
17
TINPHSEL8
16
TINPLSEL8
15
TINPHSEL7
14
TINPLSEL7
13
TINPHSEL6
12
TINPLSEL6
11
TINPHSEL5
10
TINPLSEL5
TINPHSEL4
TINPLSEL4
TINPHSEL3
TINPLSEL3
252
Table 7-45
Bit 5 Field
TINPHSEL2
TINPLSEL2
TINPHSEL1
TINPLSEL1
TINPHSEL0
TINPLSEL0
The control register TOUTSEL handles the timer output selection and is shown in Figure 7-28 and described in Table 7-46.
Figure 7-28
31 Reserved R,+0000000000000000000000 Legend: R = Read only; RW = Read/Write; -n = value after reset
253
PRODUCT PREVIEW
PRODUCT PREVIEW
4-0
TOUTPSEL0
254
SPRS835DAugust 2013
Software controls the Reset Mux block through the reset multiplex registers using RSTMUX0 through RSTMUX11 for each of the C66x CorePacs and ARM CorePac on the device. These registers are located in Bootcfg memory space. The Reset Mux Register is shown in the figure and table below.
Figure 7-29
31 Reserved R, +0000 0000 0000 0000 0000 00
Legend: R = Read only; RW = Read/Write; -n = value after reset; RC = Read only and write 1 to clear
Table 7-47
Bit 31-10 9 Field
Reserved EVTSTATCLR
8 7-5
Reserved DELAY
EVTSTAT
3-1
OMODE
LOCK
255
PRODUCT PREVIEW
The Device Speed Register shows the device speed grade and is shown below.
Figure 7-30
31 Reserved R-n Legend: R = Read only; -n = value after reset
Table 7-1
Bit Field
PRODUCT PREVIEW
31-28 27-16
Reserved DEVSPEED
15-12 11-0
Reserved ARMSPEED
256
SPRS835DAugust 2013
The registers defined in ARM Configuration Register 0 (ARMENDIAN_CFGr_0) and ARM Configuration Register 1 (ARMENDIAN_CFGr_1) control the way Cortex-A15 processor core access to peripheral MMRs shows up in the Cortex-A15 processor registers. The purpose is to provide an endian-invariant view of the peripheral MMRs when performing a 32-bit access. (Only one of the eight register sets is shown.)
Figure 7-1
31 BASEADDR RW, +0000 0000 0000 0000 0000 0000 Legend: RW = Read/Write; -n = value after reset
Table 7-1
Bit 31-8 Field
BASEADDR
7-0
Reserved
Reserved
Table 7-2
Bit 31-4 3-0 Field
Reserved SIZE
257
PRODUCT PREVIEW
The registers defined in ARM Configuration Register 2 (ARMENDIAN_CFGr_2) enable the word swapping of a region.
Figure 7-3
31 Reserved R, +0000 0000 0000 0000 0000 0000 0000 000 Legend: RW = Read/Write; -n = value after reset
Table 7-3
PRODUCT PREVIEW
Bit 31-1 0
Reserved RW,+0
QM_PRIORITY RW,+0
Table 7-4
Bit 31-19 18 Field
Reserved USB_PME_EN
17
AETMUXSEL1
16
AETMUXSEL0
15-14 13
Reserved RAC01_DIS Controls RAC broadcaster indicates presence/absence of RAC 0/1 1 = RAC is Disabled 0 = RAC is Enabled Controls MSMC parity RAM reset. When set to 1 means the MSMC parity RAM will not be reset.
12
MSMC_BLOCK_PARITY_RST
258
SPRS835DAugust 2013 Chip Miscellaneous Control Register (CHIP_MISC_CTL0) Field Descriptions (Part 2 of 2)
Description Reserved Control the priority level for the transactions from QM_Master port, which access the external linking RAM.
Table 7-4
Bit 11-3 2-0 Field
Reserved QM_PRIORITY
Table 7-5
Bit 31-15 14 Field
Reserved IO_TRACE_SEL
13
ARM_PLL_EN
12-0
Reserved
This register provides a way for reading the system endianness in an endian-neutral way. A zero value indicates big endian and a non-zero value indicates little endian. The SYSENDSTAT register captures the LENDIAN bootmode pin and is used by the BOOTROM to guide the bootflow. The value is latched on the rising edge of POR or RESETFULL.
Figure 7-6
31 Reserved R, +0000 0000 0000 0000 0000 0000 0000 000 Legend: RW = Read/Write; -n = value after reset
Table 7-6
Bit 31-1 0 Field
Reserved SYSENDSTAT
259
PRODUCT PREVIEW
RW,+0000000000000
This register controls the routing of recovered clock signals from any Ethernet port (SGMII of the multiport switches) to the two clock outputs TSRXCLKOUT0/TSRXCLKOUT1.
Figure 7-7
31 Reserved R, +0000 0000 0000 0000 0000 0000 0 Legend: RW = Read/Write; -n = value after reset
SYNECLK_PINCTL Register
7 6 TSRXCLKOUT1SEL RW,+0 4 3 Reserved 2 TSRXCLKOUT0SEL RW,+0 0
Table 7-7
Bit 31-7 6-4 Field
PRODUCT PREVIEW
Reserved TSRXCLKOUT1SEL 000 = SGMII Lane 0 rxbclk 001 = SGMII Lane 1 rxbclk 010 = SGMII Lane 2 rxbclk 011 = SGMII Lane 3 rxbclk 100 = Reserved. Do not write. 101 = Reserved. Do not write. 110 = Reserved. Do not write. 111 = Reserved. Do not write.
3 2-0
Reserved TSRXCLKOUT0SEL 000 = SGMII Lane 0 rxbclk 001 = SGMII Lane 1 rxbclk 010 = SGMII Lane 2 rxbclk 011 = SGMII Lane 3 rxbclk 100 = Reserved. Do not write. 101 = Reserved. Do not write. 110 = Reserved. Do not write. 111 = Reserved. Do not write.
These registers control the USB PHY. See the USB3 for KeyStone II Devices User Guide for more details.
260
SPRS835DAugust 2013
Over Operating Case Temperature Range (Unless Otherwise Noted) -0.3 V to 1.3 V -0.3 V to 1.3 V -0.3 V to 1.3 V -0.3 V to 1.3 V -0.3 V to 2.45 V -0.3 V to 2.45 V
0.49 DVDD15 to 0.51 DVDD15 -0.3 V to 2.45 V -0.3 V to 1.3 V -0.3V to 0.89 V -0.3 V to 2.45 V -0.3 V to 2.45 V
0V -0.3 V to DVDD18+0.3 V -0.3 V to 2.45 V -0.3 V to 2.45 V -0.3 V to DVDD18+0.3 V -0.3 V to 1.3 V -0.3 V to VDDAHV1+0.3 V 3.3 V -0.3 V to DVDD18+0.3 V -0.3 V to 2.45 V -0.3 V to 2.45 V -0.3 V to VDDAHV+0.3 V 3.3 V 0C to 85C -40C to 100C 1000 V
(5)
Commercial Extended HBM (human body model) (4) CDM (charged device model) LVCMOS (1.8 V)
250 V 20% overshoot/undershoot for 20% of signal duty cycle -65C to 150C
Overshoot/undershoot (6)
DDR3A, DDR3B IC
2
1 Stresses beyond those listed under absolute maximum ratings may cause permanent damage to the device. These are stress ratings only, and functional operation of the device at these or any other conditions beyond those indicated under recommended operating conditions is not implied. Exposure to absolute-maximum-rated conditions for extended periods may affect device reliability. 2 All voltage values are with respect to VSS.
261
PRODUCT PREVIEW
TBD
3 Electrostatic discharge (ESD) to measure device sensitivity/immunity to damage caused by electrostatic discharges into the device. 4 Level listed above is the passing level per ANSI/ESDA/JEDEC JS-001-2010. JEDEC document JEP155 states that 500 V HBM allows safe manufacturing with a standard ESD control process, and manufacturing with less than 500 V HBM is possible if necessary precautions are taken. Pins listed as 1000 V may actually have higher performance. 5 Level listed above is the passing level per EIA-JEDEC JESD22-C101E. JEDEC document JEP157 states that 250 V CDM allows safe manufacturing with a standard ESD control process. Pins listed as 250 V may actually have higher performance. 6 Overshoot/Undershoot percentage relative to I/O operating values - for example the maximum overshoot value for 1.8 V LVCMOS signals is DVDD18 + 0.20 DVDD18 and maximum undershoot value would be VSS - 0.20 DVDD18
Min Initial CVDD SR DSP core supply 1000MHz Device 1200MHz Device Initial CVDDT SR Cortex-A15 processor core supply 1000MHz Device 1200MHz Device CVDD1 CVDDT1 DVDD18 DVDD15 DSP Core supply Cortex-A15 processor Core supply 1.8-V supply I/O voltage 1.5-V supply I/O voltage 0.95 SRVnom*0.95 (3) SRVnom*0.95
(3)
Nom 1.0 0.8-1.1 0.8-1.1 1.0 0.8-1.1 0.8-1.1 0.95 0.95 1.8 1.5 0.5 DVDD15 1.8 1.8 0.85 3.3 0.85
Max Unit 1.05 SRVnom*1.05 SRVnom*1.05 1.05 SRVnom*1.05 SRVnom*1.05 0.997 0.997 1.89 1.575 0.51 DVDD15 1.89 1.89 0.892 3.465 V V V V V V V V V V V V V V V V 0 V V V
PRODUCT PREVIEW
0.902 0.902 1.71 1.425 0.49 DVDD15 1.71 1.71 0.807 3.135
DDR3VREFSSTL DDR3A, DDR3B reference voltage VDDAHV AVDDx VDDALH DVDD33 VDDUSB VSS VIH SerDes regulator supply PLL analog, DDR DLL supply SerDes termination supply USB USB Ground LVCMOS (1.8 V) High-level input voltage IC USB DDR3A, DDR3B EMIF LVCMOS (1.8 V) VIL Low-level input voltage DDR3A, DDR3B EMIF USB IC TC End of Table 8-2 Operating case temperature Commercial Extended
2 2
3.3 VREFSSTL + 0.1 0.35 DVDD18 -0.3 3.3 0.3 DVDD18 0 -40 100 100 VREFSSTL - 0.1
V V V V V V C C
1 All differential clock inputs comply with the LVDS Electrical Specification, IEEE 1596.3-1996 and all SerDes I/Os comply with the XAUI Electrical Specification, IEEE 802.3ae-2002. 2 All SerDes I/Os comply with the XAUI Electrical Specification, IEEE 802.3ae-2002. 3 SRVnom refers to the unique SmartReflex core supply voltage between 0.8 V and 1.1 V set from the factory for each individual device.
262
SPRS835DAugust 2013
Over Recommended Ranges of Supply Voltage and Operating Case Temperature (Unless Otherwise Noted) Test Conditions IO = IOH
(1)
Typ
Max Unit
3.3
IO = IOL
-10
IOH
LVCMOS (1.8 V) IOL Low-level output current [DC] DDR3A, DDR3B IC LVCMOS (1.8 V) IOZ
(5) 2
DDR3A, DDR3B IC
2
263
PRODUCT PREVIEW
0.4
Over Recommended Ranges of Supply Voltage and Operating Case Temperature (Unless Otherwise Noted) I/O Buffer Type Associated Peripheral SYSCLK(P|N) PLL input buffer ALTCORECLK(P|N) PLL input buffer SRIOSGMIICLK(P|N) SerDes PLL input buffer CVDD Supply core voltage LJCB DDR3ACLK(P|N) PLL input buffer DDR3BCLK(P|N) PLL input buffer PASSCLK(P|N) PLL input buffer ARMCLK(P|N) PLL input buffer
PRODUCT PREVIEW
VDDALV
LJCB
SERDES low voltage PCIECLK(P|N) SerDes Clock Reference HYP0CLK(P|N) SerDes Clock Reference
VDDAHV
SerDes IO voltage
SerDes/CML
DVDD15
DDR3A, DDR3B (1.5 V) All DDR3A, DDR3B memory controller peripheral I/O buffer All GPIO peripheral I/O buffer All JTAG and EMU peripheral I/O buffer All TIMER peripheral I/O buffer All SPI peripheral I/O buffer
DVDD18
LVCMOS (1.8 V)
All RESETs, NMI, control peripheral I/O buffer All SmartReflex peripheral I/O buffer All Hyperlink sideband peripheral I/O buffer All MDIO peripheral I/O buffer All UART peripheral I/O buffer
2
1 Please note that this table does not attempt to describe all functions of all power supply terminals but only those whose purpose it is to power peripheral I/O buffers and clock input buffers. 2 Please see the Hardware Design Guide for KeyStone Devices in 1.10 Related Documentation from Texas Instruments on page 21 for more information about individual peripheral I/O.
264
SPRS835DAugust 2013
265
PRODUCT PREVIEW
Table 9-1
9.2.1 Power-Up Sequencing This section defines the requirements for a power-up sequencing from a power-on reset condition. There are two acceptable power sequences for the device. The first sequence stipulates the core voltages starting before the IO voltages as shown below. 1. CVDD/CVDDT 2. CVDD1, CVDDT1, VDDAHV, AVDDAx, DVDD18 3. DVDD15 4. VDDALV, VDDUSB 5. DVDD33 The second sequence provides compatibility with other TI processors with the IO voltage starting before the core voltages as shown below. 1. VDDAHV, AVDDAx, DVDD18 2. CVDD/CVDDT 3. CVDD1, CVDDT1 4. DVDD15 5. VDDALV, VDDUSB 6. DVDD33 The clock input buffers for SYSCLK, ARMCLK, ALTCORECLK, DDR3ACLK, DDR3BCLK, PASSCLK, and SRIOSGMIICLK use CVDD as a supply voltage. These clock inputs are not failsafe and must be held in a high-impedance state until CVDD/CVDDT are at a valid voltage level. Driving these clock inputs high before CVDD/CVDDT are valid could cause damage to the device. Once CVDD/CVDDT are valid, it is acceptable that the P and N legs of these clocks may be held in a static state (either high and low or low and high) until a valid clock frequency is needed at that input. To avoid internal oscillation, the clock inputs should be removed from the high impedance state shortly after CVDD/CVDDT are present. If a clock input is not used, it must be held in a static state. To accomplish this, the N leg should be pulled to ground through a 1-k resistor. The P leg should be tied to CVDD/CVDDT to ensure it will not have any voltage present until CVDD/CVDDT areactive. Connections to the IO cells powered by DVDD18 and DVDD15 are not failsafe and should not be driven high before these voltages are active. Driving these IO cells high before DVDD18 or DVDD15 are valid could cause damage to the device. The device initialization is divided into two phases. The first phase consists of the time period from the activation of the first power supply until the point at which all supplies are active and at a valid voltage level. Either of the sequencing scenarios described above can be implemented during this phase. The figures below show both the core-before-IO voltage sequence and the IO-before-core voltage sequence. POR must be held low for the entire power stabilization phase. This is followed by the device initialization phase. The rising edge of POR followed by the rising edge of RESETFULL triggers the end of the initialization phase, but both must be inactive for the initialization to complete. POR must always go inactive before RESETFULL goes inactive as described below. SYSCLK1 in the following section refers to the clock that is used by the C66x CorePacs. See Figure 9-7 for more details.
PRODUCT PREVIEW
266
SPRS835DAugust 2013
The details of the Core-before-IO power sequencing are defined in Table 9-2. Figure 9-1 shows power sequencing and reset control of the TCI6636K2H. POR may be removed after the power has been stable for the required 100 sec. RESETFULL must be held low for a period (see item 9 in Figure 9-1) after the rising edge of POR, but may be held low for longer periods if necessary. The configuration bits shared with the GPIO pins will be latched on the rising edge of RESETFULL and must meet the setup and hold times specified. SYSCLK1 must always be active before POR can be removed.
NoteTI recommends a maximum of 80 ms between one power rail being valid and the next power rail in
Item 1
System State Begin Power Stabilization Phase CVDD/ CVDDT (core AVS) ramp up. POR must be held low through the power stabilization phase. Because POR is low, all the core logic that has asynchronous reset (created from POR ) is put into the reset state. Each supply must ramp monotonically and must reach a stable valid level in 20 ms or less. CVDD1 and CVDDT1 (core constant) ramp at the same time or within 80 ms of CVDD. Although ramping CVDD1 and CVDDT1 simultaneously with CVDD/CVDDT is permitted, the voltage for CVDD1 and CVDDT1 must never exceed CVDD until after CVDD has reached a valid voltage. The purpose of ramping up the core supplies close to each other is to reduce crowbar current. CVDD1 and CVDDT1 should trail CVDD as this will ensure that the Word Lines (WLs) in the memories are turned off and there is no current through the memory bit cells. If, however, CVDD1 and CVDDT1 (core constant) ramp up before CVDD (core AVS), then the worst-case current could be on the order of twice the specified draw of CVDD1 and CVDDT1. Each supply must ramp monotonically and must reach a stable valid level in 20 ms or less. The timing for CVDD1 and CVDDT1 is based on CVDD/CVDDT valid. CVDD1 and CVDDT1 and DVDD18/ADDAVH/AVDDAx may be enabled at the same time but do not need to ramp simultaneously. CVDD1 and CVDDT1 may be valid before or after DVDD18/ADDAVH/AVDDAx is valid, as long as the timing above is met. VDDAHV, AVDDAx and DVDD18 ramp at the same time or shortly following CVDD/CVDDT. DVDD18 must be enabled within 80 ms of CVDD/CVDDT valid and must ramp monotonically and reach a stable level in 20ms or less. This results in no more than 100 ms from the time when CVDD/CVDDT are valid to the time when DVDD18 is valid. Each supply must ramp monotonically and must reach a stable valid level in 20 ms or less. The timing for DVDD18/ADDAVH/AVDDAx is based on CVDD/CVDDT valid. DVDD18/ADDAVH/AVDDAx and CVDD1 and CVDDT1 may be enabled at the same time but do not need to ramp simultaneously. DVDD18/ADDAVH/AVDDAx may be valid before or after CVDD1 and CVDDT1 are valid, as long as the timing above is met. Once CVDD/CVDDT are valid, the clock drivers can be enabled. Although the clock inputs are not necessary at this time, they should either be driven with a valid clock or be held in a static state with one leg high and one leg low. The DDR3ACLK, DDR3BCLK and SYSCLK1 may begin to toggle anytime between when CVDD/CVDDT are at a valid level and the setup time before POR goes high specified by item 7. DVDD15 can ramp up within 80ms of when DVDD18 is valid. RESETSTAT is driven low once the DVDD18 supply is available. All LVCMOS input and bidirectional pins must not be driven or pulled high until DVDD18 is present. Driving an input or bidirectional pin before DVDD18 is valid could cause damage to the device. Each supply must ramp monotonically and must reach a stable valid level in 20 ms or less. RESET may be driven high any time after DVDD18 is at a valid level. RESET must be high before POR is driven high. VDDALV, VDDUSB, VP and VPTX ramp up within 80ms of when DVDD15 is valid. Each supply must ramp monotonically and must reach a stable valid level in 20 ms or less. DVDD33 supply is ramped up within 80 ms of when VDDALV, VDDUSB, VP and VPTX are valid. Each supply must ramp monotonically and must reach a stable valid level in 20 ms or less. POR must continue to remain low for at least 100 s after all power rails have stabilized. End power stabilization phase Device initialization requires 500 SYSCLK1 periods after the Power Stabilization Phase. The maximum clock period is 33.33 nsec, so a delay of an additional 16 s is required before a rising edge of POR. The clock must be active during the entire 16 s.
2a
2b
2c 2d 3
3a 4 5 6 7
267
PRODUCT PREVIEW
Table 9-2
10 11
PRODUCT PREVIEW
268 TCI6636K2H Peripheral Information and Electrical Specifications Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback
Figure 9-1
RESET 1 2d
2
VDDAHV AVDDAx DVDD18
2b 3a 3
DVDD15 4
VDDALV VDDUSB 5
DVDD33 7 SYSCLK1 2c
DDRCLKOUT
RESETSTAT
269
PRODUCT PREVIEW
10
11
The timing diagram for IO-before-core power sequencing is shown in Figure 9-2 and defined in Table 9-3.
NoteTI recommends a maximum of 100 ms between one power rail being valid, and the next power rail
PRODUCT PREVIEW
2 2a 3 3a 4
4a
5 6 7 8
9 10
11 12
270
Figure 9-2
3b
CVDD CVDDT 3
CVDD1 CVDDT1 4
DVDD15 5
VDDALV VDDUSB 7 6
DVDD33 8 3a SYSCLK1
DDRCLKOUT
RESETSTAT
271
PRODUCT PREVIEW
11
12
Holding the device in POR, RESETFULL, or RESET for long periods of time may affect the long-term reliability of the part (due to an elevated voltage condition that can stress the part). The device should not be held in a reset for times exceeding one hour at a time and no more than 5% of the total lifetime for which the device is powered-up. Exceeding these limits will cause a gradual reduction in the reliability of the part. This can be avoided by allowing the device to boot and then configuring it to enter a hibernation state soon after power is applied. This will satisfy the reset requirement while limiting the power consumption of the device.
9.2.1.4 Clocking During Power Sequencing
Some of the clock inputs are required to be present for the device to initialize correctly, but behavior of many of the clocks is contingent on the state of the boot configuration pins. Table 9-4 describes the clock sequencing and the conditions that affect clock operation. Note that all clock drivers should be in a high-impedance state until CVDD is at a valid level and that all clock inputs be either active or in a static state with one leg pulled to ground and the other connected to CVDD.
Table 9-4
Clock DDR3ACLK DDR3BCLK SYSCLK
PRODUCT PREVIEW
Clock Sequencing
Condition None None CORECLKSEL = 0 CORECLKSEL = 1 CORECLKSEL = 0 CORECLKSEL = 1 PASSCLKSEL = 0 Sequencing Must be present 16 sec before POR transitions high. Must be present 16 sec before POR transitions high. SYSCLK is used to clock the core PLL. It must be present 16 sec before POR transitions high. SYSCLK is used only for AIF2. Clock must be present before the reset to the AIF2 is removed. Reserved. ALTCORECLK is not used and should be tied to a static state. ALTCORECLK is used to clock the core PLL. It must be present 16 sec before POR transitions high. PASSCLK is not used and should be tied to a static state. PASSCLK is used as a source for the PASS PLL. It must be present before the PASS PLL is removed from reset and programmed. SRIOSGMIICLK must be present 16 sec before POR transitions high.
ALTCORECLK
PASSCLK
SGMII will not be used. SRIO SRIOSGMIICLK must be present 16 sec before POR transitions high. will be used as a boot device. SRIOSGMIICLK SGMII will not be used. SRIO will be used after boot. SGMII will not be used. SRIO will not be used. PCIE will be used as a boot device. PCIECLK PCIE will be used after boot. PCIE will not be used. HyperLink will be used as a boot device. HYPCLK HyperLink will be used after boot. HyperLink will not be used. End of Table 9-4 SRIOSGMIICLK is used as a source to the SRIO SerDes PLL. It must be present before the SRIO is removed from reset and programmed. SRIOSGMIICLK is not used and should be tied to a static state. PCIECLK must be present 16 sec before POR transitions high. PCIECLK is used as a source to the PCIE SerDes PLL. It must be present before the PCIe is removed from reset and programmed. PCIECLK is not used and should be tied to a static state. HYPCLK must be present 16 sec before POR transitions high. HYPCLK is used as a source to the HyperLink SerDes PLL. It must be present before the HyperLink is removed from reset and programmed. HYPCLK is not used and should be tied to a static state.
9.2.2 Power-Down Sequence The power down sequence is the exact reverse of the power-up sequence described above. The goal is to prevent an excessive amount of static current and to prevent overstress of the device. A power-good circuit that monitors all the supplies for the device should be used in all designs. If a catastrophic power supply failure occurs on any voltage rail, POR should transition to low to prevent over-current conditions that could possibly impact device reliability.
272 TCI6636K2H Peripheral Information and Electrical Specifications Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback
SPRS835DAugust 2013
A system power monitoring solution is needed to shut down power to the board if a power supply fails. Long-term exposure to an environment in which one of the power supply voltages is no longer present will affect the reliability of the device. Holding the device in reset is not an acceptable solution because prolonged periods of time with an active reset can affect long term reliability. 9.2.3 Power Supply Decoupling and Bulk Capacitors To properly decouple the supply planes on the PCB from system noise, decoupling and bulk capacitors are required. Bulk capacitors are used to minimize the effects of low-frequency current transients and decoupling or bypass capacitors are used to minimize higher frequency noise. For recommendations on selection of power supply decoupling and bulk capacitors see the Hardware Design Guide for KeyStone II Devices (currently in development). 9.2.4 SmartReflex
Texas Instruments SmartReflex technology is used to decrease both static and dynamic power consumption while maintaining the device performance. SmartReflex in the TCI6636K2H device is a feature that allows the core voltage to be optimized based on the process corner of the device. This requires a voltage regulator for each TCI6636K2H device. To help maximize performance and minimize power consumption of the device, SmartReflex is required to be implemented. The voltage selection can be accomplished using 4 VCNTL pins or 6 VCNTL pins (depending on power supply device being used), which are used to select the output voltage of the core voltage regulator. For information on implementation of SmartReflex see the DSP Power Consumption Summary for KeyStone Devices Application Report and the Hardware Design Guide for KeyStone II Devices (in development).
Table 9-5
(see Figure 9-3) No. 1 2 3 4 td(VCNTL[2:0]-VCNTL[3]) Parameter Delay time - VCNTL[2:0] valid after VCNTL[3] low 0.07 Min Max 300.00 172020C
(1)
toh(VCNTL[3]-VCNTL[2:0]) Output hold time - VCNTL[2:0] valid after VCNTL[3] td(VCNTL[2:0]-VCNTL[3]) toh(VCNTL[3]-VCNTL[2:0) Delay time - VCNTL[2:0] valid after VCNTL[3] high Output hold time - VCNTL[2:0] valid after VCNTL[3] high
273
PRODUCT PREVIEW
Increasing the device complexity increases its power consumption. With higher clock rates and increased performance comes an inevitable penalty: increasing leakage currents. Leakage currents are present in any powered circuit, independent of clock rates and usage scenarios. This static power consumption is mainly determined by transistor type and process technology. Higher clock rates also increase dynamic power, which is the power used when transistors switch. The dynamic power depends mainly on a specific usage scenario, clock rates, and I/O activity.
PRODUCT PREVIEW
274 TCI6636K2H Peripheral Information and Electrical Specifications Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback
SPRS835DAugust 2013
275
PRODUCT PREVIEW
9.3.2 Clock Domains Clock gating to each logic block is managed by the Local Power Sleep Controllers (LPSCs) of each module. For modules with a dedicated clock or multiple clocks, the LPSC communicates with the PLL controller to enable and disable that module's clock(s) at the source. For modules that share a clock with other modules, the LPSC controls the clock gating logic for each module.
PRODUCT PREVIEW
276
Table 9-7
LPSC Number 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 No LPSC End of Table 9-7
Software control Software control Software control Reserved Reserved Reserved Reserved Software control Reserved Software control Reserved Software control Software control These modules do not use LPSC
9.3.3 PSC Register Memory Map Table 9-8 shows the PSC Register memory map.
Table 9-8
Offset 0x000 0x004 - 0x010 0x014 0x018 - 0x11C 0x120 0x124 0x128 0x12C - 0x1FC 0x200 0x204 0x208 0x20C 0x210
277
PRODUCT PREVIEW
Software control
PRODUCT PREVIEW
278
Table 9-8
Offset 0x340 0x344 0x348 0x34C 0x350 0x354 0x358 0x35c 0x360 0x364 0x368 0x36C 0x370 0x374 0x378 0x37C 0x380 - 0x7FC 0x800 0x804 0x808 0x80C 0x810 0x814 0x818 0x81C 0x820 0x824 0x828 0x82C 0x830 0x834 0x838 0x83C 0x840 0x844 0x848 0x84C 0x850 0x854 0x858 0x85C 0x860 0x864 0x868
Power Domain Control Register 26 Power Domain Control Register 27 Power Domain Control Register 28 Power Domain Control Register 29 Power Domain Control Register 30 Power Domain Control Register 31 Reserved Module Status Register 0 (never gated) Module Status Register 1 Module Status Register 2 Module Status Register 3 Module Status Register 4 Module Status Register 5 Module Status Register 6 Module Status Register 7 Module Status Register 8 Module Status Register 9 Module Status Register 10 Module Status Register 11 Module Status Register 12 Module Status Register 13 Module Status Register 14 Module Status Register 15 Module Status Register 16 Module Status Register 17 Module Status Register 18 Module Status Register 19 Module Status Register 20 Module Status Register 21 Module Status Register 22 Module Status Register 23 Module Status Register 24 Module Status Register 25 Module Status Register 26
279
PRODUCT PREVIEW
PRODUCT PREVIEW
280
Table 9-8
Offset 0xA44 0xA48 0xA4C 0xA50 0xA54 0xA58 0xA5C 0xA60 0xA64 0xA68 0xA6C 0xA70 0xA74 0xA78 0xA7C 0xA80 0xA84 0xA88 0xA8C 0xA90 0xA94 0xA98 0xA9C 0xAA0 0xAA4 0xAA8 0xAAC 0xAB0 0xAB4 0xAB8 0xABC 0xAC0 0xAC4 0xAC8 0xACC 0xAD0 0xAD4 - 0xFFC End of Table 9-8
Module Control Register 27 Module Control Register 28 Module Control Register 29 Module Control Register 30 Module Control Register31 Module Control Register 32 Module Control Register 33 Module Control Register 34 Module Control Register 35 Module Control Register 36 Module Control Register 37 Module Control Register 38 Module Control Register 39 Module Control Register 40 Module Control Register 41 Module Control Register 42 Module Control Register 43 Module Control Register 44 Module Control Register 45 Module Control Register 46 Module Control Register 47 Module Control Register 48 Module Control Register 49 Module Control Register 50 Module Control Register 51 Module Control Register 52 Reserved
281
PRODUCT PREVIEW
Reset Types
Initiator POR pin RESETFULL pin RESET pin Effect(s) Resets the entire chip including the test and emulation logic. The device configuration pins are latched only during power-on reset. Hard reset resets everything except for test, emulation logic, and reset isolation modules. This reset is different from power-on reset in that the PLL Controller assumes power and clocks are stable when a hard reset is asserted. The device configurations pins are not relatched. Emulation-initiated reset is always a hard reset. By default, these initiators are configured as hard reset, but can be configured (except emulation) as a soft reset in the RSCFG Register of the PLL Controller. Contents of the DDR3 SDRAM memory can be retained during a hard reset if the SDRAM is placed in self-refresh mode. Soft reset behaves like hard reset except that PCIe MMRs (memory-mapped registers) and DDR3 EMIF MMRs contents are retained. By default, these initiators are configured as hard reset, but can be configured as soft reset in the RSCFG Register of the PLL Controller. Contents of the DDR3 SDRAM memory can be retained during a soft reset if the SDRAM is placed in self-refresh mode. Resets the C66x CorePac, without disturbing clock alignment or memory contents. The device configuration pins are not relatched.
PRODUCT PREVIEW
Hard reset
PLLCTL
(1)
Register (RSCTRL)
RESET pin Soft reset PLLCTL Register (RSCTRL) Watchdog timers Local reset LRESET pin Watchdog timer timeout LPSC MMRs End of Table 9-9
1 All masters in the device have access to the PLL Control Registers.
9.4.1 Power-on Reset Power-on reset is used to reset the entire device, including the test and emulation logic. Power-on reset is initiated by the following: 1. POR pin 2. RESETFULL pin During power-up, the POR pin must be asserted (driven low) until the power supplies have reached their normal operating conditions. Also a RESETFULL pin is provided to allow reset of the entire device, including the reset-isolated logic, when the device is already powered up. For this reason, the RESETFULL pin, unlike POR, should be driven by the on-board host control other than the power good circuitry. For power-on reset, the Main PLL Controller comes up in bypass mode and the PLL is not enabled. Other resets do not affect the state of the PLL or the dividers in the PLL Controller.
282
SPRS835DAugust 2013
NoteTo most of the device, reset is de-asserted only when the POR and RESET pins are both de-asserted (driven high). Therefore, in the sequence described above, if the RESET pin is held low past the low period of the POR pin, most of the device will remain in reset. The RESET pin should not be tied to the POR pin.
9.4.2 Hard Reset A hard reset will reset everything on the device except the PLLs, test logic, emulation logic, and reset-isolated modules. POR should also remain de-asserted during this time. Hard reset is initiated by the following: RESET pin RSCTRL Register in the PLL Controller Watchdog timer Emulation By default, all the initiators listed above are configured to generate a hard reset. Except for emulation, all of the other three initiators can be configured in the RSCFG Register in the PLL Controller to generate soft resets. The following sequence must be followed during a hard reset: 1. The RESET pin is asserted (driven low) for a minimum of 24 CLKIN1 cycles. During this time the RESET signal propagates to all modules (except those specifically mentioned above). To prevent off-chip contention during the warm reset, all I/O must be Hi-Z for modules affected by RESET. 2. Once all logic is reset, RESETSTAT is asserted (driven low) to denote that the device is in reset. 3. The RESET pin can now be released. A minimal device initialization begins to occur. Note that configuration pins are not re-latched and clocking is unaffected within the device. 4. After device initialization is complete, the RESETSTAT pin is de-asserted (driven high).
NoteThe POR pin should be held inactive (high) throughout the warm reset sequence. Otherwise, if POR
is activated (brought low), the minimum POR pulse width must be met. The RESET pin should not be tied to the POR pin.
Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback TCI6636K2H Peripheral Information and Electrical Specifications 283
PRODUCT PREVIEW
The following sequence must be followed during a power-on reset: 1. Wait for all power supplies to reach normal operating conditions while keeping the POR pin asserted (driven low). While POR is asserted, all pins except RESETSTAT will be set to high-impedance. After the POR pin is deasserted (driven high), all Z group pins, low group pins, and high group pins are set to their reset state and remain in their reset state until otherwise configured by their respective peripheral. All peripherals that are power-managed are disabled after a power-on reset and must be enabled through the Device State Control Registers (for more details, see 7.2.3 Device State Control Registers on page 236). 2. Clocks are reset, and they are propagated throughout the chip to reset any logic that was using reset synchronously. All logic is now reset and RESETSTAT is driven low, indicating that the device is in reset. 3. POR must be held active until all supplies on the board are stable, and then for at least an additional period of time (as specified in Section 9.2.1 Power-Up Sequencing on page 266) for the chip-level PLLs to lock. 4. The POR pin can now be de-asserted. Reset-sampled pin values are latched at this point. Then, all chip-level PLLs are taken out of reset, locking sequences begin, and all power-on device initialization processes begin. 5. After device initialization is complete, the RESETSTAT pin is de-asserted (driven high). By this time, the DDR3A PLL and DDR3B PLL have already completed their locking sequences and are supplying a valid clock. The system clocks of the PLL controllers are allowed to finish their current cycles and then are paused for 10 cycles of their respective system reference clocks. After the pause, the system clocks are restarted at their default divide-by settings. 6. The device is now out of reset and code execution begins as dictated by the selected boot mode.
9.4.3 Soft Reset A soft reset behaves like a hard reset except that the EMIF16 MMRs, DDR3A EMIF MMRs, DDR3B EMIF MMRs, PCIe MMRs sticky bits, and external memory content are retained. POR should also remain de-asserted during this time. Soft reset is initiated by the following: RESET pin RSCTRL Register in the PLL Controller Watchdog timer In the case of a soft reset, the clock logic and the power control logic of the peripherals are not affected, and, therefore, the enabled/disabled state of the peripherals is not affected. On a soft reset, the DDR3A and DDR3B memory controller registers are not reset. If the user places the DDR3A and DDR3B SDRAM in self-refresh mode before invoking the soft reset, the DDR3A and DDR3B SDRAM memory content is retained. During a soft reset, the following occurs: 1. The RESETSTAT pin goes low to indicate an internal reset is being generated. The reset propagates through the system. Internal system clocks are not affected. PLLs remain locked. 2. After device initialization is complete, the RESETSTAT pin is deasserted (driven high). In addition, the PLL Controller pauses system clocks for approximately 8 cycles. At this point: The peripherals remain in the state they were in before the soft reset. The states of the Boot Mode configuration pins are preserved as controlled by the DEVSTAT Register. The DDR3A and DDR3B MMRs and PCIe MMRs retain their previous values. Only the DDR3A and DDR3B memory controller and PCIe state machines are reset by the soft reset. The PLL Controller remains in the mode it was in prior to the soft reset. System clocks are unaffected. The boot sequence is started after the system clocks are restarted. Because the Boot Mode configuration pins are not latched with a soft reset, the previous values (as shown in the DEVSTAT Register), are used to select the boot mode. 9.4.4 Local Reset The local reset can be used to reset a particular C66x CorePac without resetting any other device components. Local reset is initiated by the following: LRESET pin Watchdog timer should cause one of the below based on the setting of the CORESEL[2:0] and RSTCFG registers in the PLL Controller. (See Reset Configuration Register (RSTCFG) on page 296 and 5.3.2 CIC Registers on page 160.) Local reset NMI NMI followed by a time delay and then a local reset for the C66x CorePac selected Hard reset by requesting reset via the PLL Controller LPSC MMRs (memory-mapped registers) For more details see the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21)
284 TCI6636K2H Peripheral Information and Electrical Specifications Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback
PRODUCT PREVIEW
SPRS835DAugust 2013
9.4.5 ARM CorePac Reset The ARM CorePac uses a combination of power-on-reset and module-reset to reset its components, such as the Cortex-A15 processor, memory subsystem, debug logic, etc. The ARM CorePac incorporates the PSC to generate resets for its internal modules. Details of reset generation and distribution inside the ARM CorePac can be found in the KeyStone II ARM CorePac Users Guide listed in Related Documentation from Texas Instruments on page 21. 9.4.6 Reset Priority If any of the above reset sources occur simultaneously, the PLL Controller processes only the highest priority reset request. The reset request priorities are as follows (high to low): Power-on reset Hard/soft reset 9.4.7 Reset Controller Register The reset controller registers are part of the PLL Controller MMRs. All TCI6636K2H device-specific MMRs are covered in Section 9.5.2 PLL Controller Memory Map on page 291. For more details on these registers and how to program them, see the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21. 9.4.8 Reset Electrical Data/Timing
Table 9-10
No. RESETFULL Pin Reset 1 tw(RESETFULL) Pulse width - pulse width RESETFULL low Soft/Hard-Reset 2 tw(RESET) Pulse width - pulse width RESET low 500C ns End of Table 9-10
1 C = 1/SYSCLK1 clock frequency in ns
500C
ns
Table 9-11
No.
3 4
td(RESETFULLH-RESETSTATH) td(RESETH-RESETSTATH)
Delay time - RESETSTAT high after RESETFULL high Soft/Hard Reset Delay time - RESETSTAT high after RESET high
50000C ns 50000C ns
285
PRODUCT PREVIEW
RESET 3 RESETSTAT
PRODUCT PREVIEW
Figure 9-5
POR
Table 9-12
See Figure 9-6) No. 1 2
(1)
Min tsu(GPIOn-RESETFULL) th(RESETFULL-GPIOn) Setup time - GPIO valid before RESETFULL asserted Hold time - GPIO valid after RESETFULL asserted 12C 12C
Max
Unit ns ns
Figure 9-6
GPIO[15:0] 2
9.5 Main PLL, ARM PLL, DDR3A PLL, DDR3B PLL, PASS PLL and the PLL Controllers
This section provides a description of the Main PLL, ARM PLL, DDR3A PLL, DDR3B PLL, PASS PLL, and the PLL Controller. For details on the operation of the PLL Controller module, see the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21.
286 TCI6636K2H Peripheral Information and Electrical Specifications Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback
SPRS835DAugust 2013
The Main PLL is controlled by the standard PLL Controller. The PLL Controller manages the clock ratios, alignment, and gating for the system clocks to the device. By default, the device powers up with the main PLL bypassed. Figure 9-7 shows a block diagram of the Main PLL and the PLL Controller. The ARM PLL,DDR3A PLL, DDR3B PLL, and PASS PLL are used to provide dedicated clock to the ARM CorePac,DDR3A, DDR3B, and PASS respectively. These chip level PLLs support a wide range of multiplier and divider values, which can be programmed through the chip level registers located in the Device Control Register block. The Boot ROM will program the multiplier values for main PLL, ARM PLLand PASS PLL based on boot mode. (See Device Boot and Configuration on page 209 for more details.) The DDR3A PLL and DDR3B PLL are used to supply clocks to DDR3A and DDR3B EMIF logic. These PLLs can also be used without programming the PLL Controller. Instead, they can be controlled using the chip-level registers (DDR3APLLCTL0, DDR3APLLCTL1,DDR3BPLLCTL0, DDR3BPLLCTL1) located in the Device Control Register block. To write to these registers, software must go through an unlocking sequence using the KICK0/KICK1 registers. The multiplier values for all chip-level PLLs can be reprogrammed later based on the input parameter table. This feature provides flexibility in that these PLLs may be able to reuse other clock sources instead of having its own clock source.
Figure 9-7 Main PLL and PLL Controller
PLLM
PLL
VCO
PLLOUT
CLKOD
1
BYPASS
PLL Controller
POSTDIV /1 PLLDIV1 /1 PLLDIV2 /x PLLDIV3 /z PLLDIV4
SYSCLK3
SYSCLK4
287
PRODUCT PREVIEW
Note that the Main PLL Controller registers can be accessed by any master in the device. The PLLM[5:0] bits of the multiplier are controlled by the PLLM Register inside the PLL Controller and the PLLM[12:6] bits are controlled by the chip-level MAINPLLCTL0 Register. The output divide and bypass logic of the PLL are controlled by fields in the SECCTL Register in the PLL Controller. Only PLLDIV3, and PLLDIV4 are programmable on the device. See the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in section 1.10 Related Documentation from Texas Instruments on page 21for more details on how to program the PLL controller. The multiplication and division ratios within the PLL and the post-division for each of the chip-level clocks are determined by a combination of this PLL and the Main PLL Controller. The Main PLL Controller also controls reset propagation through the chip, clock alignment, and test points. The Main PLL Controller monitors the PLL status and provides an output signal indicating when the PLL is locked. Main PLL power is supplied externally via the Main PLL power-supply pin (AVDDA1). An external EMI filter circuit must be added to all PLL supplies. See the Hardware Design Guide for KeyStone Devices in section 1.10 Related Documentation from Texas Instruments on page 21 for detailed recommendations. For the best performance, TI recommends that all the PLL external components be on a single side of the board without jumpers, switches, or components other than those shown. For reduced PLL jitter, maximize the spacing between switching signal traces and the PLL external components (C1, C2, and the EMI Filter). The minimum SYSCLK rise and fall times should also be observed. For the input clock timing requirements, see Section 9.5.5 Main PLL Controller/ARM/SRIO/HyperLink/PCIe Clock Input Electrical Data/Timing. It should be assumed that any registers not included in these sections are not supported by the device. Furthermore, only the bits within the registers described here are supported. Avoid writing to any reserved memory location or changing the value of reserved bits. The PLL Controller module as described in the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21 includes a superset of features, some of which are not supported on the TCI6636K2H device. The following sections describe the registers that are supported. 9.5.1 Main PLL Controller Device-Specific Information
9.5.1.1 Internal Clocks and Maximum Operating Frequencies
PRODUCT PREVIEW
The Main PLL, used to drive theC66x CorePacs, the switch fabric, and a majority of the peripheral clocks (all but the ARM CorePacs, DDR3, and the PASS modules) requires a PLL Controller to manage the various clock divisions, gating, and synchronization. Unlike other PLL, CLKOD functionality of Main PLL is replaced by PLL controller Post-Divider register (POSTDIV). The POSTDIV.RATIO[3:0] and POSTDIV.POSTDEN bits control the post divider ratio and divider enable respectively. PLLM[5:0] input of the Main PLL is controlled by the PLL controller PLLM register. The Main PLL Controller has four SYSCLK outputs that are listed below, along with the clock descriptions. Each SYSCLK has a corresponding divider that divides down the output clock of the PLL. Note that dividers are not programmable unless explicitly mentioned in the description below. SYSCLK1: Full-rate clock for all C66x CorePacs. Using local dividers, SYSCLK1 is used to derive clocks required for the majority of peripherals that do not need reset isolation. The system peripherals and modules driven by SYSCLK1 are as follows; however, not all peripherals are supported in every part. See the Features chapter for the complete list of peripherals supported in your part. AIF2, BCP, FFTC, RAC, TAC, TCP3d, VCP2, EMIF16, USB 3.0, USIM, HyperLink, PCIe, SGMII, SRIO, GPIO, Timer64, I2C, SPI, TeraNet, UART, ROM, CIC, Security Manager, BootCFG, PSC, Queue Manager, Semaphore, MPUs, EDMA, MSMC, DDR3, EMIF.
288
SPRS835DAugust 2013
SYSCLK2: Full-rate, reset-isolated clock used to generate various other clocks required by peripherals that need reset isolation: e.g., SmartReflex and SRIO. SYSCLK3: 1/x-rate clock used to clock the C66x CorePac emulation. The default rate for this clock is 1/3. This clock is programmable from /1 to /32, where this clock does not violate the maximum of 350 MHz. SYSCLK3 can be turned off by software. SYSCLK4: 1/z-rate clock for the system trace module only. The default rate for this clock is 1/5. This clock is configurable: the maximum configurable clock is 210 MHz and the minimum configuration clock is 32 MHz. SYSCLK4 can be turned off by software.
Table 9-13
Clock
Main PLL Controller Module Clock Domains Internal and Shared Local Clock Dividers (Part 1 of 2)
Module Internal Clock Divider(s) SYSCLK1 Internal Clock Dividers Antenna Interface Subsystem 2 (AIF2) ARM CorePac Bit Rate Coprocessor (BCP) C66x DSP CorePacs Chip Interrupt Controllers (CICx) DDR3 Memory Controller A (also receives clocks from the DDR3A_PLL) DDR3 Memory Controller B (also receives clocks from the DDR3B_PLL) EMIF16 Enhanced Viterbi-Decoder Coprocessor (VCP) Fast Fourier Transform Coprocessor (FFTC) /3, /6 /1, /3, /3, /6, /6 /3 /1, /2, /3, /4 /6 /2 /3 /6 /3 /3 /2, /3, /6 /3 /1 /2, /3, /4, /6 /3, /4 /6 /2, /3, /6, /8 /3 /2, /3 /6 /3, /6 SYSCLK1 Shared Local Clock Dividers Power/Sleep Controller (PSC) EDMA -/12, /24 ---------------------Shared Local Clock Divider
SYSCLK1
HyperLink Multicore Navigator Queue Manager MultiCore Shared Memory Controller (MSMC) PCI express (PCIe) Receive Accelerator Coprocessor (RAC) ROM Serial Gigabit Media Independent Interface (SGMII) Transmit Accelerator Coprocessor (TAC) Turbo Decoder Coprocessor (TCP3d) Universal Asynchronous Receiver/Transmitter (UART) Universal Serial Bus 3.0 (USB 3.0)
SYSCLK1
--
/3
289
PRODUCT PREVIEW
The clock signals from the Main PLL Controller are routed to various modules and peripherals on the device. Some modules and peripherals have one or more internal clock dividers. Other modules and peripherals have no internal clock dividers, but are grouped together and receive clock signals from a shared local clock divider. Internal and shared local clock dividers have fixed division ratios. See table Table 9-13.
Main PLL Controller Module Clock Domains Internal and Shared Local Clock Dividers (Part 2 of 2)
Module Boot Config General-Purpose Input/Output (GPIO) I2C Internal Clock Divider(s) Shared Local Clock Divider
SYSCLK1
Security Manager Serial Peripheral Interconnect (SPI) TeraNet (CPU /6 domain) Timers Universal Subscriber Identity Module (USIM)
--
/6
SYSCLK2 Internal Clock Dividers Serial RapidIO (SRIO) SYSCLK2 SmartReflex C66x CorePacs SmartReflex ARM CorePac End of Table 9-13 /3, /4, /6 /12, /128 /12, /128, /128 ----
PRODUCT PREVIEW
Table 9-7 lists various clock domains in the device and their distribution in each peripheral. The table also shows the distributed clock division in modules and their mapping with source clocks of the device PLLs.
9.5.1.4 Main PLL Controller Operating Modes
The Main PLL Controller has two modes of operation: bypass mode and PLL mode. The mode of operation is determined by the BYPASS bit of the PLL Secondary Control Register (SECCTL). In bypass mode, PLL input is fed directly out as SYSCLK1. In PLL mode, SYSCLK1 is generated from the PLL output using the values set in the PLLM and PLLD fields in the MAINPLLCTL0 Register. External hosts must avoid access attempts to the DSP while the frequency of its internal clocks is changing. User software must implement a mechanism that causes the DSP to notify the host when the PLL configuration has completed.
9.5.1.5 Main PLL Stabilization, Lock, and Reset Times
The PLL stabilization time is the amount of time that must be allotted for the internal PLL regulators to become stable after device power-up. The device should not be taken out of reset until this stabilization time has elapsed. The PLL reset time is the amount of wait time needed when resetting the PLL (writing PLLRST = 1), in order for the PLL to properly reset, before bringing the PLL out of reset (writing PLLRST = 0). For the Main PLL reset time value, see Table 9-14. The PLL lock time is the amount of time needed from when the PLL is taken out of reset to when the PLL Controller can be switched to PLL mode. The Main PLL lock time is given in Table 9-14.
Table 9-14 Main PLL Stabilization, Lock, and Reset Times
Parameter PLL stabilization time PLL lock time PLL reset time End of Table 9-14
1 C = SYSCLK1(N|P) cycle time in ns.
Min 100
Typ
Max
(1)
Unit s
2000 C 1000
ns
290
SPRS835DAugust 2013
9.5.2 PLL Controller Memory Map The memory map of the Main PLL Controller is shown in Table 9-15. TCI6636K2H-specific Main PLL Controller Register definitions can be found in the sections following Table 9-15. For other registers in the table, see the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21. It is recommended to use read-modify-write sequence to make any changes to the valid bits in the Main PLL Controller registers. Note that only registers documented here are accessible on the TCI6636K2H. Other addresses in the Main PLL Controller memory map including the Reserved registers must not be modified. Furthermore, only the bits within the registers described here are supported.
Table 9-15 PLL Controller Registers (Including Reset Controller) (Part 1 of 2)
Acronym RSTYPE RSTCTRL RSTCFG RSISO PLLCTL SECCTL PLLM PLLDIV1 PLLDIV2 PLLDIV3 POSTDIV PLLCMD PLLSTAT ALNCTL DCHANGE CKEN CKSTAT SYSTAT PLLDIV4 PLLDIV5 PLLDIV6 PLLDIV7 PLLDIV8 Register Name Reserved Reset Type Status Register (Reset Main PLL Controller) Software Reset Control Register (Reset Main PLL Controller) Reset Configuration Register (Reset Main PLL Controller) Reset Isolation Register (Reset Main PLL Controller) Reserved PLL Control Register Reserved PLL Secondary Control Register Reserved PLL Multiplier Control Register Reserved PLL Controller Divider 1Register PLL Controller Divider 2 Register PLL Controller Divider 3Register Reserved PLL Controller Post-Divide Register Reserved PLL Controller Command Register PLL Controller Status Register PLL Controller Clock Align Control Register PLLDIV Ratio Change Status Register Reserved Reserved SYSCLK Status Register Reserved PLL Controller Divider 4Register Reserved Reserved Reserved Reserved
Hex Address Range 00 0231 0000 - 00 0231 00E3 00 0231 00E4 00 0231 00E8 00 0231 00EC 00 0231 00F0 00 0231 00F0 - 00 0231 00FF 00 0231 0100 00 0231 0104 00 0231 0108 00 0231 010C 00 0231 0110 00 0231 0114 00 0231 0118 00 0231 011C 00 0231 0120 00 0231 0124 00 0231 0128 00 0231 012C - 00 0231 0134 00 0231 0138 00 0231 013C 00 0231 0140 00 0231 0144 00 0231 0148 00 0231 014C 00 0231 0150 00 0231 0154 - 00 0231 015C 00 0231 0160 00 0231 0164 00 0231 0168 00 0231 016C 00 0231 0170
291
PRODUCT PREVIEW
Hex Address Range 00 0231 0174 - 00 0231 0193 00 0231 0194 - 00 0231 01FF End of Table 9-15
The PLL Secondary Control Register contains extra fields to control the Main PLL and is shown in Figure 9-8 and described in Table 9-16.
Figure 9-8
31
PRODUCT PREVIEW
Table 9-16
Bit 31-24 23 Field
Reserved BYPASS
22-19
OUTPUT DIVIDE
18-0
Reserved
The PLL Controller Divider Registers (PLLDIV3 and PLLDIV4) are shown in Figure 9-9 and described in Table 9-17. The default values of the RATIO field on a reset for PLLDIV3, and PLLDIV4 are different as mentioned in the footnote of Figure 9-9.
Figure 9-9
31 Reserved R-0
14 EN Reserved R-0
7 RATIO R/W-n
(2)
R/W-1
292
Table 9-17
Bit 31-16 15 Field
Reserved DnEN
14-8 7-0
Reserved RATIO
The PLL Controller Clock Align Control Register (ALNCTL) is shown in Figure 9-10 and described in Table 9-18.
Figure 9-10
31 Reserved R-0 Legend: R/W = Read/Write; R = Read only; -n = value after reset, for reset value
Table 9-18
Bit 31-5 2-0 4 3 Field
Whenever a different ratio is written to the PLLDIVn registers, the PLL CTL flags the change in the DCHANGE Status Register. During the GO operation, the PLL controller changes only the divide ratio of the SYSCLKs with the bit set in DCHANGE. Note that the ALNCTL Register determines if that clock also needs to be aligned to other clocks. The PLLDIV Divider Ratio Change Status Register is shown in Figure 9-11 and described in Table 9-19.
Figure 9-11
31 Reserved R-0 Legend: R/W = Read/Write; R = Read only; -n = value after reset, for reset value
293
PRODUCT PREVIEW
The SYSCLK Status Register (SYSTAT) shows the status of SYSCLK[4:1]. SYSTAT is shown in Figure 9-12 and described in Table 9-20.
Figure 9-12
31 Reserved R-n Legend: R/W = Read/Write; R = Read only; -n = value after reset
PRODUCT PREVIEW
Table 9-20
Bit 31-4 3-0 Field
The Reset Type Status (RSTYPE) Register latches the cause of the last reset. If multiple reset sources occur simultaneously, this register latches the highest priority reset source. The Reset Type Status Register is shown in Figure 9-13 and described in Table 9-21.
Figure 9-13
31 29
Reserved R-0
294
Table 9-21
Bit 31-29 28 Field
Reserved EMU-RST
27-12 11 10 9 8 7-3 2
Reserved. Always reads as 0. Writes have no effect. Reset initiated by PLLCTL 0 = Not the last reset to occur 1 = The last reset to occur RESET reset 0 = RESET was not the last reset to occur 1 = RESET was the last reset to occur Power-on reset 0 = Power-on reset was not the last reset to occur 1 = Power-on reset was the last reset to occur
RESET
POR
This register contains a key that enables writes to the MSB of this register and the RSTCFG register. The key value is 0x5A69. A valid key will be stored as 0x000C. Any other key value is invalid. When the RSTCTRL or the RSTCFG is written, the key is invalidated. Every write must be set up with a valid key. The Software Reset Control Register (RSTCTRL) is shown in Figure 9-14 and described in Table 9-22.
Figure 9-14
31 Reserved R-0x0000 Legend: R = Read only; -n = value after reset;
1 Writes are conditional based on valid key.
15 KEY R/W-0x0003
Table 9-22
Bit 31-17 16 Field
Reserved SWRST
15-0
KEY
295
PRODUCT PREVIEW
This register is used to configure the type of reset (a hard reset or a soft reset) initiated by RESET, the watchdog timer, and the Main PLL Controllers RSTCTRL Register. By default, these resets are hard resets. The Reset Configuration Register (RSTCFG) is shown in Figure 9-15 and described in Table 9-23.
Figure 9-15
31 Reserved R-0x000000
12 RESETTYPE R/W-0
2
11 Reserved R-0x0
3 WDTYPE[N R/W-0x00
(1) 2
0 ]
PRODUCT PREVIEW
Table 9-23
Bit 31-14 13 Field
Reserved PLLCTLRSTTYPE
12
RESETTYPE
11-4 3 2 1 0
This register is used to select the module clocks that must maintain their clocking without pausing through non-power-on reset. Setting any of these bits effectively blocks reset to all Main PLL Control Registers in order to maintain current values of PLL multiplier, divide ratios, and other settings. Along with setting the module-specific bit in RSISO, the corresponding MDCTLx[12] bit also needs to be set in the PSC to reset-isolate a particular module. For more information on the MDCTLx Register, see the Power Sleep Controller (PSC) for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21. The Reset Isolation Register (RSISO) is shown in Figure 9-16 and described in Table 9-24.
Figure 9-16
31 Reserved R-0x0000
Reserved R-0x0
Reserved R-000
296
Table 9-24
Bit 31-10 9 Field
Reserved SRIOISO
SRISO
7-4 3
Reserved AIF2ISO
2-0
Reserved
9.5.3 Main PLL Control Registers The Main PLL uses two chip-level registers (MAINPLLCTL0 and MAINPLLCTL1) along with the Main PLL Controller for its configuration. These MMRs (memory-mapped registers) exist inside the Bootcfg space. To write to these registers, software should go through an unlocking sequence using the KICK0 and KICK1 registers. These registers reset only on a POR reset. For valid configurable values of the MAINPLLCTL registers, see Section 7.1.4 System PLL Settings on page 233. See Section 7.2.3.4 Kicker Mechanism (KICK0 and KICK1) Register on page 242 for the address location of the KICK registers and their locking and unlocking sequences. See Figure 9-17 and Table 9-25 for MAINPLLCTL0 details and Figure 9-18 and Table 9-26 for MAINPLLCTL1 details.
Figure 9-17
31 BWADJ[7:0] RW,+0000 0101 Legend: RW = Read/Write; -n = value after reset
Table 9-25
Bit 31-24 Field
BWADJ[7:0]
23-19 18-12
Reserved PLLM[12:6]
297
PRODUCT PREVIEW
Figure 9-18
31
Reserved R-00
PRODUCT PREVIEW
Table 9-26
Bit 31-7 6 5-4 3-0 Field
9.5.4 ARM PLL Control Registers The ARM PLL uses two chip-level registers (ARMPLLCTL0 and ARMPLLCTL1) without using the Main PLL Controller like other PLLs for its configuration. These MMRs (memory-mapped registers) exist inside the Bootcfg space. To write to these registers, software must go through an un-locking sequence using the KICK0 and KICK1 registers. These registers reset only on a POR reset. For valid configurable values of the ARMPLLCTL registers, see Section 7.1.4.1 ARM CorePac System PLL Settings on page 233. See Section 7.2.3.4 Kicker Mechanism (KICK0 and KICK1) Register on page 242 for the address location of the KICK registers and their locking and unlocking sequences. See Figure 9-19 and Table 9-27 for ARMPLLCTL0 details and Figure 9-20 and Table 9-28 for ARMPLLCTL1 details.
.
Figure 9-19
31
CLKOD RW,+0001
298
Table 9-27
Bit 31-24 Field
BWADJ[7:0]
23
BYPASS
Figure 9-20
31
Reserved R-00
Table 9-28
Bit 31-15 14 Field
Reserved PLLRST
See the Phase Locked Loop (PLL) Controller for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21 for the recommended programming sequence. Output Divide ratio and Bypass enable/disable of the ARM PLL is also controlled by the SECCTL register in the PLL Controller. See the PLL Secondary Control Register (SECCTL) on page 292 for more details. 9.5.5 Main PLL Controller/ARM/SRIO/HyperLink/PCIe Clock Input Electrical Data/Timing
Table 9-29
No. SYSCLK[P:N] 1 1 3 2 tc(SYSCLKN) tc(SYSCLKP) tw(SYSCLKN) tw(SYSCLKN) Cycle time SYSCLKN cycle time Cycle time SYSCLKP cycle time Pulse width SYSCLKN high Pulse width SYSCLKN low 3.25 or 6.51 or 8.138 (2) 3.25 or 6.51 or 8.138 0.45*tc 0.45*tc 0.55*tc 0.55*tc ns ns ns ns
299
PRODUCT PREVIEW
0.2*tc(SYSCLKP)
PRODUCT PREVIEW
2 ps, RMS
300
Table 9-29
No. 5 5 5
(Part 3 of 3)
Max Unit
Jitter, RMS SRIOSGMIICLKP Jitter, RMS SRIOSGMIICLKN (SRIO not used) Jitter, RMS SRIOSGMIICLKP (SRIO not used) HyperLink CLK[P:N]
1 1 3 2 2 3 4 4 5 5
tc(HYPCLKN) tc(HYPCLKP) tw(HYPCLKN) tw(HYPCLKN) tw(HYPCLKP) tw(HYPCLKP) tr(HYPCLK_250mV) tf(HYPCLK_250mV) tj(HYPCLKN) tj(HYPCLKP)
Cycle time HYPCLKN cycle time Cycle time HYPCLKP cycle time Pulse width HYPCLKN high Pulse width HYPCLKN low Pulse width HYPCLKP high Pulse width HYPCLKP low Transition time HYPCLK differential rise time (250 mV) Transition time HYPCLK differential fall time (250 mV) Jitter, RMS HYPCLKN Jitter, RMS HYPCLKP PCIECLK[P:N]
3.2 or 4 or 6.4 3.2 or 4 or 6.4 0.45*tc(HYPCLKN) 0.45*tc(HYPCLKN) 0.45*tc(HYPCLKP) 0.45*tc(HYPCLKP) 50 50 0.55*tc(HYPCLKN) 0.55*tc(HYPCLKN) 0.55*tc(HYPCLKP) 0.55*tc(HYPCLKP) 350 350
ns ns ns ns ns ns ps ps
1 1 3 2 2 3 4 4 5 5
tc(PCIECLKN) tc(PCIECLKP) tw(PCIECLKN) tw(PCIECLKN) tw(PCIECLKP) tw(PCIECLKP) tr(PCIECLK_250mV) tf(PCIECLK_250mV) tj(PCIECLKN) tj(PCIECLKP)
Cycle time PCIECLKN cycle time Cycle time PCIECLKP cycle time Pulse width PCIECLKN high Pulse width PCIECLKN low Pulse width PCIECLKP high Pulse width PCIECLKP low Transition time PCIECLK differential rise time (250 mV) Transition time PCIECLK differential fall time (250 mV) Jitter, RMS PCIECLKN Jitter, RMS PCIECLKP
3.2 or 4 or 6.4 or 10 3.2 or 4 or 6.4 or 10 0.45*tc(PCIECLKN) 0.45*tc(PCIECLKN) 0.45*tc(PCIECLKP) 0.45*tc(PCIECLKP) 50 50 0.55*tc(PCIECLKN) 0.55*tc(PCIECLKN) 0.55*tc(PCIECLKP) 0.55*tc(PCIECLKP) 350 350
ns ns ns ns ns ns ps ps
Figure 9-21
301
PRODUCT PREVIEW
250 mV peak-to-peak
TR = 50 ps min to 350 ps max (10% to 90 %) for the 250 mV peak-to-peak centered at zero crossing
PRODUCT PREVIEW
The DDR3A PLL and DDR3B PLL generate interface clocks for the DDR3A and DDR3B memory controllers. When coming out of power-on reset, DDR3A PLL and DDR3B PLL are programmed to a valid frequency during the boot configuration process before being enabled and used. DDR3A PLL and DDR3B PLL power is supplied via the DDR3 PLL power-supply pin (AVDDA2). An external EMI filter circuit must be added to all PLL supplies. See the Hardware Design Guide for KeyStone Devices in 1.10 Related Documentation from Texas Instruments on page 21for detailed recommendations.
Figure 9-23 DDR3A PLL and DDR3B PLL Block Diagram
PLLM
DDR3 PLL
DDRCLK(N|P)
PLLD
VCO
PLLOUT
CLKOD
1
DDR3 PHY
DDR
BYPASS
9.6.1 DDR3A PLL and DDR3B PLL Control Registers The DDR3A PLL and DDR3B PLL, which are used to drive the DDR3A PHY and DDR3B PHY for the EMIF, do not use a PLL controller. DDR3A PLL and DDR3B PLL can be controlled using the DDR3APLLCTL0/DDR3BPLLCTL0 and DDR3APLLCTL1/DDR3BPLLCTL1 registers located in the Bootcfg module. These MMRs (memory-mapped registers) exist inside the Bootcfg space. To write to these registers, software must go through an unlocking sequence using the KICK0 and KICK1 registers. For suggested configurable values, see 7.1.4 System PLL Settings on page 233. See 7.2.3.4 Kicker Mechanism (KICK0 and KICK1) Register on page 242 for the address location of the registers and locking and unlocking sequences for accessing the registers. These registers are reset on POR only.
.
Figure 9-24
31
CLKOD RW,+0001
302
SPRS835DAugust 2013 DDR3A PLL and DDR3B PLL Control Register 0 Field Descriptions
Description BWADJ[11:8] and BWADJ[7:0] are located in DDR3PLLCTL0 and DDR3PLLCTL1 registers. BWADJ[11:0] should be programmed to a value equal to half of PLLM[12:0] value (round down if PLLM has an odd value) Example: If PLLM = 15, then BWADJ = 7 Enable bypass mode 0 = Bypass disabled 1 = Bypass enabled A 4-bit field that selects the values for the PLL post divider. Valid post divider values are 1 and even values from 2 to 16. CLKOD field is loaded with output divide value minus 1 A 13-bit field that selects the values for the PLL multiplication factor. PLLM field is loaded with the multiply factor minus 1 A 6-bit field that selects the values for the reference (input) divider. PLLD field is loaded with reference divide value minus 1
Table 9-30
Bit 31-24 Field
BWADJ[7:0]
23
BYPASS
Figure 9-25
31
Reserved R-00
Table 9-31
Bit 31-15 14 Field
Reserved PLLRST
9.6.2 DDR3A PLL and DDR3B PLL Device-Specific Information As shown in Figure 9-23, the output of DDR3A PLL and DDR3B PLL (PLLOUT) is divided by 2 and directly fed to the DDR3A and DDR3B memory controller. During power-on resets, the internal clocks of the DDR3 PLL are affected as described in Section 9.4 Reset Controller on page 282. The DDR3 PLL is unlocked only during the power-up sequence and is locked by the time the RESETSTAT pin goes high. It does not lose lock during any of the other resets.
303
PRODUCT PREVIEW
9.6.3 DDR3 PLL Input Clock Electrical Data/Timing Table 9-32 applies to both DDR3A and DDR3B memory interfaces.
Table 9-32
No. DDRCLK[P:N] 1 1 3 2 2 3 4 4 5 5 tc(DDRCLKN) tc(DDRCLKP) tw(DDRCLKN) tw(DDRCLKN) tw(DDRCLKP) tw(DDRCLKP) tr(DDRCLK_250 mV) tf(DDRCLK_250 mV) tj(DDRCLKN) tj(DDRCLKP) Cycle time _ DDRCLKN cycle time Cycle time _ DDRCLKP cycle time Pulse width _ DDRCLKN high Pulse width _ DDRCLKN low Pulse width _ DDRCLKP high Pulse width _ DDRCLKP low Transition time _ DDRCLK differential rise time (250 mV) Transition time _ DDRCLK differential fall time (250 mV) Jitter, peak_to_peak _ periodic DDRCLKN Jitter, peak_to_peak _ periodic DDRCLKP 3.2 3.2 0.45*tc(DDRCLKN) 0.45*tc(DDRCLKN) 0.45*tc(DDRCLKP) 0.45*tc(DDRCLKP) 50 50 25 25 0.55*tc(DDRCLKN) 0.55*tc(DDRCLKN) 0.55*tc(DDRCLKP) 0.55*tc(DDRCLKP) 350 350 0.02*tc(DDRCLKN) 0.02*tc(DDRCLKP) ns ns ns ns ns ns ps ps ps ps
PRODUCT PREVIEW
Figure 9-26
PLLOUT
PLL Controller
SYSCLKn
C66x CorePac
PLLM
PASS PLL
/3 PLLOUT Network Coprocessor
VCO
PASSCLK(P|N) PACLKSEL
PLLD
CLKOD
1
BYPASS
304
SPRS835DAugust 2013
9.7.1 PASS PLL Local Clock Dividers The clock signal from the PASS PLL Controller is routed to the Network Coprocessor. The Net CP module has two internal dividers with fixed division ratios. See table Table 9-34.
Table 9-33
Clock PLLOUT
Figure 9-28
31
CLKOD RW,+0001
Table 9-34
Bit 31-24 Field
BWADJ[7:0]
23
BYPASS
Figure 9-29
31
Reserved RW-000000
Reserved R-00
305
PRODUCT PREVIEW
The PASS PLL, which is used to drive the Network Coprocessor, does not use a PLL controller. PASS PLL can be controlled using the PAPLLCTL0 and PAPLLCTL1 registers located in the Bootcfg module. These MMRs (memory-mapped registers) exist inside the Bootcfg space. To write to these registers, software must go through an unlocking sequence using the KICK0 and KICK1 registers. For suggested configuration values, see 7.1.4 System PLL Settings on page 233. See 7.2.3.4 Kicker Mechanism (KICK0 and KICK1) Register on page 242 for the address location of the registers and locking and unlocking sequences for accessing these registers. These registers are reset on POR only.
PRODUCT PREVIEW
9.7.3 PASS PLL Device-Specific Information As shown in Figure 9-27, the output of PASS PLL (PLLOUT) is divided by 3 and directly fed to the Network Coprocessor. During power-on resets, the internal clocks of the PASS PLL are affected as described in Section 9.4 Reset Controller on page 282. The PASS PLL is unlocked only during the power-up sequence and is locked by the time the RESETSTAT pin goes high. It does not lose lock during any other resets. 9.7.4 PASS PLL Input Clock Electrical Data/Timing
Table 9-36
No. PASSCLK[P:N] 1 1 3 2 2 3 4 4 5 5 tc(PASSCLKN) tc(PASSCLKP) tw(PASSCLKN) tw(PASSCLKN) tw(PASSCLKP) tw(PASSCLKP) tr(PASSCLK_250mV) tf(PASSCLK_250mV) tj(PASSCLKN) tj(PASSCLKP) Cycle time _ PASSCLKN cycle time Cycle time _ PASSCLKP cycle time Pulse width _ PASSCLKN high Pulse width _ PASSCLKN low Pulse width _ PASSCLKP high Pulse width _ PASSCLKP low Transition time _ PASSCLK differential rise time (250 mV) Transition time _ PASSCLK differential fall time (250 mV) Jitter, peak_to_peak _ periodic PASSCLKN Jitter, peak_to_peak _ periodic PASSCLKP 3.2 3.2 0.45*tc(PASSCLKN) 0.45*tc(PASSCLKN) 0.45*tc(PASSCLKP) 0.45*tc(PASSCLKP) 50 50 6.4 6.4 0.55*tc(PASSCLKN) 0.55*tc(PASSCLKN) 0.55*tc(PASSCLKP) 0.55*tc(PASSCLKP) 350 350 100 100 ns ns ns ns ns ns ps ps ps, pk-pk ps, pk-pk
Figure 9-30
306
SPRS835DAugust 2013
Figure 9-31
307
PRODUCT PREVIEW
PRODUCT PREVIEW
308
SPRS835DAugust 2013
9.9.2 DDR3 Slew Rate Control The DDR3 slew rate is controlled by use of the PHY registers. See the KeyStone II DDR3 UserGuide in 1.10 Related Documentation from Texas Instruments on page 21 for details. 9.9.3 DDR3 Memory Controller Electrical Data/Timing The DDR3 Implementation Guidelines Application Report in 1.10 Related Documentation from Texas Instruments on page 21 specifies a complete DDR3 interface solution as well as a list of compatible DDR3 devices. The DDR3 electrical requirements are fully specified in the DDR3 Jedec Specification JESD79-3C. TI has performed the simulation and system characterization to ensure all DDR3 interface timings in this solution are met. Therefore, no electrical data/timing information is supplied here for this interface.
NoteTI supports only designs that follow the board design guidelines outlined in the application report.
C module, ensure there are external pullup resistors on the SDA and SCL pins.
The I C modules on the TCI6636K2H may be used by the DSP to control local peripheral ICs (DACs, ADCs, etc.), communicate with other controllers in a system, or to implement a user interface. The I C port supports: 2 Compatibility with Philips I C specification revision 2.1 (January 2000) Fast mode up to 400 kbps (no fail-safe I/O buffers) Noise filter to remove noise of 50 ns or less 7-bit and 10-bit device addressing modes Multi-master (transmit/receive) and slave (transmit/receive) functionality Events: DMA, interrupt, or polling Slew-rate limited open-drain output buffers
2
309
PRODUCT PREVIEW
SCL I C Clock
2
I COAR I2CSAR
PRODUCT PREVIEW
2
Transmit I2CXSR
I2CEMDR
I CRSR
310
Table 9-38
0x0034 0x0038 0x003C -0x007F
(1)
Fast Mode Min 2.5 0.6 0.6 1.3 0.6 100 (2)
(3) (4)
Min Cycle time, SCL Setup time, SCL high before SDA low (for a repeated START condition) Hold time, SCL low after SDA low (for a START and a repeated START condition) Pulse duration, SCL low Pulse duration, SCL high Setup time, SDA valid before SCL high Hold time, SDA valid after SCL low (for I C bus devices) Pulse duration, SDA high between STOP and START conditions Rise time, SDA Rise time, SCL Fall time, SDA Fall time, SCL Setup time, SCL high before SDA high (for STOP condition) Pulse duration, spike (must be suppressed) Capacitive load for each bus line 4
2
Max
3.45
0.6 0 400
(5)
311
PRODUCT PREVIEW
Stop
PRODUCT PREVIEW
Table 9-40
(see Figure 9-34)
No. 16 17 18 19 20 21 22 23 24 25 26 27 28 tc(SCL) tsu(SCLH-SDAL) th(SDAL-SCLL) tw(SCLL) tw(SCLH) td(SDAV-SDLH) tv(SDLL-SDAV) tw(SDAH) tr(SDA) tr(SCL) tf(SDA) tf(SCL) td(SCLH-SDAH) Cp End of Table 9-40 Cycle time, SCL
Parameter
Max
Setup time, SCL high to SDA low (for a repeated START condition) Hold time, SDA low after SCL low (for a START and a repeated START condition) Pulse duration, SCL low Pulse duration, SCL high Delay time, SDA valid to SCL high Valid time, SDA valid after SCL low (for I C bus devices) Pulse duration, SDA high between STOP and START conditions Rise time, SDA Rise time, SCL Fall time, SDA Fall time, SCL Delay time, SCL high to SDA high (for STOP condition) Capacitance for each I2C pin
0 4.7
0.6
1 Cb = total capacitance of one bus line in pF. If mixed with HS-mode devices, faster fall-times are allowed.
312
Figure 9-34
Table 9-42
No.
1 2 3 4 4 4
Cycle time, SPICLK, all master modes Pulse width high, SPICLK, all master modes Pulse width low, SPICLK, all master modes Setup (Delay), initial data bit valid on SPIDOUT to initial edge on SPICLK. Polarity = 0, Phase = 0. Setup (Delay), initial data bit valid on SPIDOUT to initial edge on SPICLK. Polarity = 0, Phase = 1. Setup (Delay), initial data bit valid on SPIDOUT to initial edge on SPICLK Polarity = 1, Phase = 0
3*P2
ns ns ns 5 5 5 ns ns ns
0.5*(3*P2) - 1 0.5*(3*P2) - 1
313
PRODUCT PREVIEW
Stop
toh(SPC-SPIDOUT) Output hold time, SPIDOUT valid after receive edge of SPICLK except for final bit. Polarity = 0 Phase = 0 toh(SPC-SPIDOUT) Output hold time, SPIDOUT valid after receive edge of SPICLK except for final bit. Polarity = 0 Phase = 1 toh(SPC-SPIDOUT) Output hold time, SPIDOUT valid after receive edge of SPICLK except for final bit. Polarity = 1 Phase = 0 toh(SPC-SPIDOUT) Output hold time, SPIDOUT valid after receive edge of SPICLK except for final bit. Polarity = 1 Phase = 1 Additional SPI Master Timings 4 Pin Mode with Chip Select Option
PRODUCT PREVIEW
6 6 6
19 19 19 19 20 20 20 20
Delay from SPISCSx\ active to first SPICLK. Polarity = 0 Phase = 0 Delay from SPISCSx\ active to first SPICLK. Polarity = 0 Phase = 1 Delay from SPISCSx\ active to first SPICLK. Polarity = 1 Phase = 0 Delay from SPISCSx\ active to first SPICLK. Polarity = 1 Phase = 1 Delay from final SPICLK edge to master deasserting SPISCSx\. Polarity = 0 Phase = 0 Delay from final SPICLK edge to master deasserting SPISCSx\. Polarity = 0 Phase = 1 Delay from final SPICLK edge to master deasserting SPISCSx\. Polarity = 1 Phase = 0 Delay from final SPICLK edge to master deasserting SPISCSx\. Polarity = 1 Phase = 1 Minimum inactive time on SPISCSx\ pin between two transfers when SPISCSx\ is not held using the CSHOLD feature.
2*P2 - 5
2*P2 + 5 ns
314
SPRS835DAugust 2013 SPI Master Mode Timing Diagrams Base Timings for 3-Pin Mode
1 2 SPICLK 4 SPIDOUT MO(0) 7 SPIDIN MI(0) 8 MI(1) MI(n-1) MI(n) 5 MO(1) 6 MO(n-1) MO(n) 3 MASTER MODE POLARITY = 0 PHASE = 0
Figure 9-35
MO(n)
MI(n)
SPICLK 5 SPIDOUT MO(0) 7 SPIDIN MI(0) 8 MI(1) MI(n-1) MI(n) MO(1) 6 MO(n-1) MO(n)
MASTER MODE POLARITY = 1 PHASE = 1 SPICLK 4 SPIDOUT MO(0) 7 SPIDIN MI(0) 8 MI(1) MI(n-1) MI(n) 5 MO(1) 6 MO(n-1) MO(n)
Figure 9-36
SPI Additional Timings for 4-Pin Master Mode with Chip Select Option
MASTER MODE 4 PIN WITH CHIP SELECT 19 SPICLK 20
MO(0) MI(0)
MO(1) MI(1)
MO(n-1) MI(n-1)
MO(n) MI(n)
315
PRODUCT PREVIEW
PRODUCT PREVIEW
1 2 3 6 7 6 7
Clock period - HYPTXFLCLK (C1) High pulse width - HYPTXFLCLK Low pulse width - HYPTXFLCLK Setup time - HYPTXFLDAT valid before HYPTXFLCLK high Hold time - HYPTXFLDAT valid after HYPTXFLCLK high Setup time - HYPTXFLDAT valid before HYPTXFLCLK low Hold time - HYPTXFLDAT valid after HYPTXFLCLK low PM Interface
ns ns ns ns ns ns ns
1 2 3 6 7 6 7
Clock period - HYPRXPMCLK (C3) High pulse width - HYPRXPMCLK Low pulse width - HYPRXPMCLK Setup time - HYPRXPMDAT valid before HYPRXPMCLK high Hold time - HYPRXPMDAT valid after HYPRXPMCLK high Setup time - HYPRXPMDAT valid before HYPRXPMCLK low Hold time - HYPRXPMDAT valid after HYPRXPMCLK low
ns ns ns ns ns ns ns
Table 9-44
No.
1 2 3 4 5 4 5
Clock period - HYPRXFLCLK (C2) High pulse width - HYPRXFLCLK Low pulse width - HYPRXFLCLK Setup time - HYPRXFLDAT valid before HYPRXFLCLK high Hold time - HYPRXFLDAT valid after HYPRXFLCLK high Setup time - HYPRXFLDAT valid before HYPRXFLCLK low Hold time - HYPRXFLDAT valid after HYPRXFLCLK low PM Interface
ns ns ns ns ns ns ns
1 2 3 4 5
Clock period - HYPTXPMCLK (C4) High pulse width - HYPTXPMCLK Low pulse width - HYPTXPMCLK Setup time - HYPTXPMDAT valid before HYPTXPMCLK high Hold time - HYPTXPMDAT valid after HYPTXPMCLK high
ns ns ns ns ns
316
Table 9-44
No. 4 5
tosu(HYPTXPMDAT-HYPTXPMCLKL) toh(HYPTXPMCLKL-HYPTXPMDAT)
Setup time - HYPTXPMDAT valid before HYPTXPMCLK low Hold time - HYPTXPMDAT valid after HYPTXPMCLK low
Figure 9-37
1 2 3
Figure 9-38
HYPTX<xx>DAT
<xx> represents the interface that is being used: PM or FL
Figure 9-39
HYPRX<xx>DAT
<xx> represents the interface that is being used: PM or FL
317
PRODUCT PREVIEW
PRODUCT PREVIEW
0.96U
ns ns ns ns ns ns
Figure 9-40
RXD
Stop/Idle
Start
Figure 9-41
CTS
318
Table 9-46
No.
1 2 2 3 3 3
Pulse width, transmit start bit Pulse width, transmit data/parity bit high Pulse width, transmit data/parity bit low Pulse width, transmit stop bit 1 Pulse width, transmit stop bit 1.5 Pulse width, transmit stop bit 2 Autoflow Timing Requirements
-2
ns ns ns ns ns ns
Figure 9-42
TXD
Stop/Idle
Start
Figure 9-43
CTS
319
PRODUCT PREVIEW
td(RX-RTSH)
5P
ns
PRODUCT PREVIEW
Table 9-47
Bit 31-0 Field
MAC ID
Figure 9-45
31
Table 9-48
Bit 31-24 23-18 17 Field
320
Table 9-48
Bit 16 Field BCAST
15-0
MAC ID
Figure 9-46
31
Table 9-49
Bit 31-4 3-0 Field
Reserved CPTS_RFTCLK_SEL
321
PRODUCT PREVIEW
There is a central processor time synchronization (CPTS) submodule in the Ethernet switch module that can be used for time synchronization. Programming this register selects the clock source for the CPTS_RCLK. See the Gigabit Ethernet (GbE) Switch Subsystem for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21for the register address and other details about the time synchronization submodule. The register CPTS_RFTCLK_SEL for reference clock selection of the time synchronization submodule is shown in Figure 9-46.
PRODUCT PREVIEW
2 3 4 5
Figure 9-47
Table 9-51
(see Figure 9-48) No. 6
td(MDCLKL-MDIO)
Figure 9-48
322
SPRS835DAugust 2013
9.19 Timers
The timers can be used to time events, count events, generate pulses, interrupt the CorePacs, and send synchronization events to the EDMA3 channel controller. 9.19.1 Timers Device-Specific Information The TCI6636K2H device has up to twenty 64-bit timers in total, of which Timer0 through Timer7 are dedicated to each of the up to eight C66x CorePacs as watchdog timers and can also be used as general-purpose timers. Timer16 through Timer19 are dedicated to each of the Cortex-A15 processor cores as a watchdog timer and can also be used as general-purpose timers.The remaining timers can be configured as general-purpose timers only, with each timer programmed as a 64-bit timer or as two separate 32-bit timers. When operating in 64-bit mode, the timer counts either module clock cycles or input (TINPLx) pulses (rising edge) and generates an output pulse/waveform (TOUTLx) plus an internal event (TINTLx) on a software-programmable period. When operating in 32-bit mode, the timer is split into two independent 32-bit timers. Each timer is made up of two 32-bit counters: a high counter and a low counter. The timer pins, TINPLx and TOUTLx are connected to the low counter. The timer pins, TINPHx and TOUTHx are connected to the high counter. When operating in watchdog mode, the timer counts down to 0 and generates an event. It is a requirement that software writes to the timer before the count expires, after which the count begins again. If the count ever reaches 0, the timer event output is asserted. Reset initiated by a watchdog timer can be set by programming Reset Type Status Register (RSTYPE) on page 294 and the type of reset initiated can set by programming Reset Configuration Register (RSTCFG) on page 296. For more information, see the 64-bit Timer (Timer 64) for KeyStone Devices User Guide in 1.10 Related Documentation from Texas Instruments on page 21. 9.19.2 Timers Electrical Timing The tables and figures below describe the timing requirements and switching characteristics of the timers.
Table 9-52
(see Figure 9-49) No. 1 2 tw(TINPH) tw(TINPL) Pulse duration, high Pulse duration, low Min 12C 12C Max Unit ns ns
Table 9-53
(see Figure 9-49) No. 3 4 tw(TOUTH) tw(TOUTL)
Figure 9-49
Timer Timing
1 2
TIMIx 3 TIMOx 4
323
PRODUCT PREVIEW
PRODUCT PREVIEW
324
SPRS835DAugust 2013
325
PRODUCT PREVIEW
GPIO Registers
Acronym BINTEN DIR OUT_DATA SET_DATA CLR_DATA IN_DATA SET_RIS_TRIG CLR_RIS_TRIG SET_FAL_TRIG CLR_FAL_TRIG Register Name GPIO interrupt per bank enable register Reserved GPIO Direction Register GPIO Output Data Register GPIO Set Data Register GPIO Clear Data Register GPIO Input Data Register GPIO Set Rising Edge Interrupt Register GPIO Clear Rising Edge Interrupt Register GPIO Set Falling Edge Interrupt Register GPIO Clear Falling Edge Interrupt Register Reserved Reserved
PRODUCT PREVIEW
(1)
Table 9-56
(see Figure 9-50) No. 3 4 tw(GPOH) tw(GPOL)
(1)
Max
Unit ns ns
Figure 9-50
GPIO Timing
1 2
GPIx 3 GPOx 4
326
SPRS835DAugust 2013
9.27 Semaphore2
The device contains an enhanced Semaphore module for the management of shared resources of the C66x CorePacs. The Semaphore enforces atomic accesses to shared chip-level resources so that the read-modify-write sequence is not broken. The Semaphore module has unique interrupts to each of the C66x CorePacs to identify when that CorePac has acquired the resource. Semaphore resources within the module are not tied to specific hardware resources. It is a software requirement to allocate semaphore resources to the hardware resource(s) to be arbitrated. The Semaphore module supports three masters and contains 32 semaphores that can be shared within the system. There are two methods of accessing a semaphore resource: Direct Access: A C66x CorePac directly accesses a semaphore resource. If free, the semaphore is granted. If not free, the semaphore is not granted. Indirect Access: A C66x CorePac indirectly accesses a semaphore resource by writing to it. Once the resource is free, an interrupt notifies the C66x CorePac that the resource is available.
See Figure 9-49, Figure 9-52, Figure 9-53, and Figure 9-54
32.55 32.55 0.6 * C1 0.6 * C1 0.6 * C1 0.6 * C1 350.00 350.00 350.00 350.00 600 600 8 * C1 8 * C1 350.00 350.00 350.00 350.00
ns ns ns ns ns ns ps ps ps ps ps ps ns ns ps ps ps ps
327
PRODUCT PREVIEW
See Figure 9-49, Figure 9-52, Figure 9-53, and Figure 9-54
PRODUCT PREVIEW
10 11 12 13
Pulse duration, PHYSYNC high Cycle time, PHYSYNC pulse to PHYSYNC pulse Pulse duration, RADSYNC high Cycle time, RADSYNC pulse to RADSYNC pulse
ns ms ns ms
Figure 9-51
Figure 9-52
RP1CLKN RP1CLKP
RP1FBP/N 7
Figure 9-53
PHYSYNC
Figure 9-54
RADSYNC
328
Table 9-58
(see Figure 9-55) No.
Max
Unit
14 15
tw(EXTFRAMEEVENTH) tw(EXTFRAMEEVENTL)
Pulse width, EXTFRAMEEVENT output high Pulse width, EXTFRAMEEVENT output low
4 * C1 4 * C1
ns ns
Figure 9-55
329
PRODUCT PREVIEW
PRODUCT PREVIEW
330
Table 9-59
No.
(Part 2 of 2)
Min Max Unit
Read Timing 3 3 4 5 4 5 6 7 8 9 10 10 11 12 13 tC(CEL) tC(CEL) tosu(CEL-OEL) toh(OEH-CEH) tosu(CEL-OEL) toh(OEH-CEH) tosu(BAV-OEL) toh(OEH-BAIV) tosu(AV-OEL) toh(OEH-AIV) tw(OEL) tw(OEL) td(WAITH-OEH) tsu(D-OEH) th(OEH-D) EMIF read cycle time when ew = 0, meaning not in extended wait mode EMIF read cycle time when ew =1, meaning extended wait mode enabled Output setup time from CE low to OE low. SS = 0, not in select strobe mode Output hold time from OE high to CE high. SS = 0, not in select strobe mode Output setup time from CE low to OE low in select strobe mode, SS = 1 Output hold time from OE high to CE high in select strobe mode, SS = 1 Output setup time from BA valid to OE low Output hold time from OE high to BA invalid Output setup time from A valid to OE low Output hold time from OE high to A invalid OE active time low, when ew = 0. Extended wait mode is disabled. OE active time low, when ew = 1. Extended wait mode is enabled. Delay time from WAIT deasserted to OE# high Input setup time from D valid to OE high Input hold time from OE high to D invalid Write Timing 15 15 16 17 16 17 18 19 20 21 22 23 24 24 26 27 25 tc(CEL) tc(CEL) tosuCEL-WEL) toh(WEH-CEH) tosuCEL-WEL) toh(WEH-CEH) tosu(RNW-WEL) toh(WEH-RNW) tosu(BAV-WEL) toh(WEH-BAIV) tosu(AV-WEL) toh(WEH-AIV) tw(WEL) tw(WEL) tosu(DV-WEL) toh(WEH-DIV) td(WAITH-WEH) EMIF write cycle time when ew = 0, meaning not in extended wait mode (WS+WST+WH+ (WS+WST+WH+ TA+4)*E-3 TA+4)*E+3 ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns ns 4E + 3 ns 3 0.5 (RS+RST+RH+3) *E-3 (RS+RST+RH+3) *E-3 (RS+1) * E - 3 (RH+1) * E - 3 (RS+1) * E - 3 (RH+1) * E - 3 (RS+1) * E - 3 (RH+1) * E - 3 (RS+1) * E - 3 (RH+1) * E - 3 (RST+1) * E - 3 (RST+1) * E - 3 (RS+RST+RH+3) *E+3 (RS+RST+RH+3) *E+3 (RS+1) * E + 3 (RH+1) * E + 3 (RS+1) * E + 3 (RH+1) * E + 3 (RS+1) * E + 3 (RH+1) * E + 3 (RS+1) * E + 3 (RH+1) * E + 3 (RST+1) * E + 3 (RST+1) * E + 3 4E + 3 ns ns ns ns ns ns
ns ns ns ns ns ns ns ns
EMIF write cycle time when ew =1., meaning extended wait mode is enabled (WS+WST+WH+ (WS+WST+WH+ TA+4)*E-3 TA+4)*E+3 Output setup time from CE low to WE low. SS = 0, not in select strobe mode Output hold time from WE high to CE high. SS = 0, not in select strobe mode Output setup time from CE low to WE low in select strobe mode, SS = 1 Output hold time from WE high to CE high in select strobe mode, SS = 1 Output setup time from RNW valid to WE low Output hold time from WE high to RNW invalid Output setup time from BA valid to WE low Output hold time from WE high to BA invalid Output setup time from A valid to WE low Output hold time from WE high to A invalid WE active time low, when ew = 0. Extended wait mode is disabled. WE active time low, when ew = 1. Extended wait mode is enabled. Output setup time from D valid to WE low Output hold time from WE high to D invalid Delay time from WAIT deasserted to WE# high (WS+1) * E - 3 (WH+1) * E - 3 (WS+1) * E - 3 (WH+1) * E - 3 (WS+1) * E - 3 (WH+1) * E - 3 (WS+1) * E - 3 (WH+1) * E - 3 (WS+1) * E - 3 (WH+1) * E - 3 (WST+1) * E - 3 (WST+1) * E - 3 (WS+1) * E - 3 (WH+1) * E - 3
331
PRODUCT PREVIEW
ns
PRODUCT PREVIEW
12 EM_D[15:0] EM_WE
13
Figure 9-57
332
Figure 9-58
Figure 9-59
333
PRODUCT PREVIEW
Deasserted
PRODUCT PREVIEW
334
SPRS835DAugust 2013
Support for Halt-mode debug Support for Real-time debug Support for Monitor mode debug Advanced Event Triggering (AET) for data/PC watch-points, event monitoring and visibility into external events Support for PC/Timing/Data/Event trace. TETB (TI Embedded Trace Buffer) of 4KB to store PC/Timing/Data/Event trace. The trace data is copied by EDMA to external memory for draining by device high speed serial interfaces or it can be drained through EMUx pins Support for Cross triggering source/sink to other C66x CorePacs and device subsystems. Using Advanced Event Triggering to Find and Fix Intermittent Real-Time Bugs application report Using Advanced Event Triggering to Debug Real-Time Problems in High Speed Embedded Microprocessor Systems application report For more information on the AET, see the following documents in 1.10 Related Documentation from Texas Instruments on page 21: 9.35.2 ICEPick Module The debugger is connected to the device through its external JTAG interface. The first level of debug interface seen by the debugger is connected to the ICEPick module embedded in the DEBUGSS. ICEPick is the chip-level TAP, responsible for providing access to the IEEE 1149.1 and IEEE1149.6 boundary scan capabilities of the device. The device has multiple processors, some with secondary JTAG TAPs (C66x CorePacs) and others with an APB memory mapped interface (ARM CorePac and Coresight components). ICEPick manages the TAPs as well as the power/reset/clock controls for the logic associated with the TAPs as well as the logic associated with the APB ports. ICEPick provides the following debug capabilities: Debug connect logic for enabling or disabling most ICEPick instructions
335
PRODUCT PREVIEW
Support for invasive debug like halt mode debugging (breakpoint, watchpoints) and monitor mode debugging Support for non-invasive debugging (program trace, performance monitoring) Support for A15 Performance Monitoring Unit (cycle counters) Support for per core CoreSight Program Trace Module (CS-PTM) with timing Support for an integrated CoreSight System Trace Module (CS-STM) for hardware event and software instrumentation A shared timestamp counter for all ARM cores and STM is integrated in ARMSS for trace data correlation Support for a 16KB Trace Buffer and Router (TBR) to hold PTM/STM trace. The trace data is copied by EDMA to external memory for draining by device high speed serial interfaces. Support for simultaneous draining of trace stream through EMUn pins and TBR (to achieve higher aggregate trace throughput) Support for debug authentication interface to disable debug accesses in secure devices Support for cross triggering between MPU cores, CS-STM and CT-TBR Support for debug through warm reset
Dynamic TAP insertion Serially linking up to 32 TAP controllers Individually selecting one or more of the TAPS for scan without disrupting the instruction register (IR) state of other TAPs Power, reset and clock management Provides the power and clock status of the domain to the debugger Provides debugger control of the power domain of a processor. Force the domain power and clocks on Prohibit the domain from being clock-gated or powered down Applies system reset Provides wait-in-reset (WIR) boot mode Provides global and local WIR release Provides global and local reset block
PRODUCT PREVIEW
The ICEPick module implements a connect register, which must be configured with a predefined key to enable the full set of JTAG instructions. Once the debug connect key has been properly programmed, ICEPick signals and subsystems emulation logic should be turned on.
9.35.2.1 ICEPick Dynamic Tap Insertion
To include more or fewer secondary TAPS in the scan chain, the debugger must use the ICEPick TAP router to program the TAPs. At its root, ICEPick is a scan-path linker that lets the debugger selectively choose which subsystem TAPs are accessible through the device-level debug interface. Each secondary TAP can be dynamically included in or excluded from the scan path. From external JTAG interface point of view, secondary TAPS that are not selected appear not to exist. There are two types of components connected through ICEPick to external debug interface: Legacy JTAG Components C66x implements a JTAG-compatible port and are directly interfaced with ICEPick and individually attached to an ICEPick secondary TAP. CoreSight Components The CoreSight components are interfaced with ICEPick through the CS_DAP module. The CS_DAP is attached to the ICEPick secondary TAP and translates JTAG transactions into APBv3 transactions. Table 9-60 shows the ICEPick secondary taps in the system. For more details on the test related P1500 TAPs, please refer to the DFTSS specification.
Table 9-60
Tap # 0 1 2 3 4 5 6 7 8 9..13 Type n/a JTAG JTAG JTAG JTAG JTAG JTAG JTAG JTAG JTAG
336
Table 9-60
Tap # 14 Type CS
For more information on ICEPick, see the Debug and Trace for KeyStoneII Devices in 1.10 Related Documentation from Texas Instruments on page 21.
NoteNote that if EMU[1:0] signals are shared for cross-triggering purposes in the board level, they
Cross EMU Pins Triggering EMU33 EMU32 EMU31 EMU30 EMU29 EMU28 EMU27 EMU26 EMU25 EMU24 EMU23 EMU22 EMU21 EMU20 EMU19
TRCDTa[17] TRCDTb[19] TRCDTa[17] TRCDTb[19] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTa[16] TRCDTb[18] TRCDTa[16] TRCDTb[18] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTa[15] TRCDTb[17] TRCDTa[15] TRCDTb[17] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state
337
PRODUCT PREVIEW
The device also supports 34 emulation pins EMU[33:0], which includes 19 dedicated EMU pins and 15 pins multiplexed with GPIO. These pins are shared by A15/DSP/STM trace, cross triggering, and debug bootmodes as shown in Table 9-64. The 34-pin dedicated emulation interface is also defined in the following table.
Cross EMU Pins Triggering EMU18 EMU17 EMU16 EMU15 EMU14 EMU13 EMU12 EMU11 EMU10 EMU9 EMU8 EMU7 EMU6 EMU5 EMU4 EMU3 EMU2 EMU1 EMU0 Trigger1 Trigger0
TRCDTa[14] TRCDTb[16] TRCDTa[14] TRCDTb[16] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTa[13] TRCDTb[15] TRCDTa[13] TRCDTb[15] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTa[12] TRCDTb[14] TRCDTa[12] TRCDTb[14] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTa[11] TRCDTb[13] TRCDTa[11] TRCDTb[13] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTa[10] TRCDTb[12] TRCDTa[10] TRCDTb[12] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTa[9] TRCDTa[8] TRCDTa[7] TRCDTa[6] TRCDTa[5] TRCDTa[4] TRCDTa[3] TRCDTa[2] TRCDTa[1] TRCDTa[0] TRCCTRL TRCCLK TRCDTb[11] TRCDTa[9] TRCDTb[10] TRCDTa[8] TRCDTb[9] TRCDTb[8] TRCDTb[7] TRCDTb[6] TRCDTb[5] TRCDTb[4] TRCDTb[3] TRCDTb[2] TRCCTRL TRCCLK TRCDTb[1] TRCDTb[0] TRCDTa[7] TRCDTa[6] TRCDTa[5] TRCDTa[4] TRCDTa[3] TRCDTa[2] TRCDTa[1] TRCDTa[0] TRCCLKB TRCCLKA TRCDTb[11] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTb[10] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDTb[9] TRCDTb[8] TRCDTb[7] TRCDTb[6] TRCDTb[5] TRCDTb[4] TRCDTb[3] TRCDTb[2] TRCCLKB TRCCLKA TRCDTb[1] TRCDTb[0] TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state TRCDT3, or TRCDT2, or TRCDT1, or TRCDT0, or TRCCLK, or Tri-state dbgbootmode[1] dbgbootmode[0]
PRODUCT PREVIEW
9.36.1 Concurrent Use of Debug Port Following combinations are possible concurrently: Trigger 0/1 Trigger 0/1 and STM Trace (upto 4 datapins) Trigger 0/1 and STM Trace (upto 4 datapins) and C66x Trace (upto 20 datapins) Trigger 0/1 and STM Trace (1-4 datapins) and ARM Trace (27-24 datapins) STM Trace (1-4 datapins) and ARM Trace (29-26 data pins) Trigger 0/1 and ARM Trace (upto 29 data pins) ARM Trace (upto 32 datapins)
338 TCI6636K2H Peripheral Information and Electrical Specifications Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback
SPRS835DAugust 2013
ARM and DSP simultaneous trace is not supported. 9.36.2 Master ID for HW and SW Messages Table 9-62 describes the master ID for the various hardware and software masters of the STM.
Table 9-62
CPTracer Name CPT_MSMCx_MST, where x = 0..3 CPT_MSMC4_MST CPT_MSMCx_MST, where x = 5..7 CPT_DDR3A_MST CPT_L2_x_MST, where x = 0..7 CPT_TPCC0_4_MST CPT_TPCC1_2_3_MST CPT_INTC_MST CPT_SM_MST CPT_QM_CFG1_MST CPT_QM_CFG2_MST CPT_QM_M_MST CPT_SPI_ROM_EMIF16 _MST CPT_CFG_MST CPT_RAC_FEI_MST CPT_RAC_CFG1_MST CPT_TAC_BE_MST CPT_BCR_CFG_MST CPT_RAC_CFG2_MST CPT_DDR3B_MST End of Table 9-62
Table 9-63
Core Name C66x CorePac0 C66x CorePac1 C66x CorePac2 C66x CorePac3 C66x CorePac4 C66x CorePac5 C66x CorePac6 C66x CorePac7 A15 Core0 A15 Core1 A15 Core2
339
PRODUCT PREVIEW
9.36.3 SoC Cross-Triggering Connection The cross-trigger lines are shared by all the subsystems implementing cross-triggering. An MPU subsystem trigger event can therefore be propagated to any application subsystem or system trace component. The remote subsystem or system trace component can be programmed to be sensitive to the global SOC trigger lines to either: Generate a processor debug request Generate an interrupt request Start/Stop processor trace Start/Stop CBA transaction tracing through CPTracers Start external logic analyzer trace Stop external logic analyzer trace
Table 9-64
Name
PRODUCT PREVIEW
Cross-Triggering Connection
Source Triggers Sink Triggers Comments
Inside DEBUGSS Device-to-device trigger via EMU0/1 pins MIPI-STM CT-TBR CS-TPIU YES NO YES NO YES YES YES YES Outside DEBUGSS DSPSS CP_Tracers ARM End of Table 9-64 YES YES YES YES YES YES ARM Cores, ARM CS-STM and ARM CT-TBR This is fixed (not affected by configuration) Trigger input only for MIPI-STM in DebugSS DEBUGSS CT-TBR DEBUGSS CS-TPIU
The following table describes the crosstrigger connection between various cross trigger sources and TI XTRIG module.
Table 9-65
Name C66x CorePac0-7
TI XTRIG Assignment
Assigned XTRIG Channel Number XTRIG 0-7
CPTracer 0..31 (The CPTracer number refers to the SID[4:0] as shown in Table 9-62 XTRIG 8 .. 39
9.36.4 Peripherals-Related Debug Requirement Table 9-66 lists all the peripherals on this device, and the status of whether or not it supports emulation suspend or emulation request events.
340
SPRS835DAugust 2013
The DEBUGSS supports upto 32 debug suspend sources (processor cores) and 64 debug suspend sinks (peripherals). The assignment of processor cores is shown in and the assignment of peripherals is shown in Table 9-66. By default the logical AND of all the processor cores is routed to the peripherals. It is possible to select an individual core to be routed to the peripheral (For example: used in tightly coupled peripherals like timers), a logical AND of all cores (Global peripherals) or a logical OR of all cores by programming the DEBUGSS.DRM module. The SOFT bit should be programmed based on whether or not an immediate pause of the peripheral function is required or if the peripheral suspend should occur only after a particular completion point is reached in the normal peripheral operation. The FREE bit should be programmed to enable or disable the emulation suspend functionality.
Table 9-66 Peripherals Emulation Support (Part 1 of 2)
Emulation Suspend Support Peripheral Stop-Mode Real-Time Mode FREE Bit STOP Bit Emulation Request Support (cemudbg/emudbg) Debug Peripheral Assignment
Infrastructure Peripherals EDMA_x, where X=0/1/2/3/4 QM_SS CP_Tracers_X, where X = 0..32 MPU_X, where X = 0..11 CP_INTC BOOT_CFG SEC_MGR PSC PLL TIMERx, x=0, 1..7, 8..19 Semaphore GPIO N Y (CPDMA only) N N N N N N N Y N N N Y (CPDMA only) N N N N N N N N N N N N Y Y N Y Y Y Y N N N Y N NA 20 NA NA NA NA NA NA NA 0, 1..7, 8..19 NA NA
N N N
N N N Serial Interfaces
N N N
N N N
Y Y Y
NA NA NA
I C_X, where X = 0/1/2 SPI_X, where X = 0/1/2 UART_X, where X = 0/1 USIM
Y N Y Y
N N N N
Y N Y Y
Y N Y N
Y Y Y N
21/22/23 NA 24/25 28
High Speed Serial Interfaces Hyperlink_0/1 PCIeSS 0 SRIO / NetCP_1 NetCP (ethernet switch) USBSS N N Y Y N N N Y Y N Accelarators RAC_0 RAC_1 TAC_2 Y Y N N N N N N N N N N Y Y N 30 31 NA N N Y Y N N N Y (Soft Only) Y N Y N Y N N 26 27 NA
341
PRODUCT PREVIEW
Based on the above table the number of suspend interfaces in Keystone II devices is listed below.
Table 9-67
Interfaces EMUSUSP Interfaces EMUSUSP Realtime Interfaces
PRODUCT PREVIEW
Table 9-68 summarizes the DEBUG core assignment. Emulation suspend output of all the cores are synchronized to SYSCLK1/6 which is frequency of the slowest peripheral that uses these signals.
Table 9-68
Core # 0..7 8..11 12..29 30 31 End of Table 9-68
9.36.5 Advance Event Triggering (AET) The device supports advanced event triggering (AET). This capability can be used to debug complex problems as well as understand performance characteristics of user applications. AET provides the following capabilities: Hardware program breakpoints: specify addresses or address ranges that can generate events such as halting the processor or triggering the trace capture. Data watchpoints: specify data variable addresses, address ranges, or data values that can generate events such as halting the processor or triggering the trace capture. Counters: count the occurrence of an event or cycles for performance monitoring. State sequencing: allows combinations of hardware program breakpoints and data watchpoints to precisely generate events for complex sequences. For more information on the AET, see the following documents in 1.10 Related Documentation from Texas Instruments on page 21. Using Advanced Event Triggering to Find and Fix Intermittent Real-Time Bugs application report Using Advanced Event Triggering to Debug Real-Time Problems in High Speed Embedded Microprocessor Systems application report
342
SPRS835DAugust 2013
9.36.6 Trace The device supports trace. Trace is a debug technology that provides a detailed, historical account of application code execution, timing, and data accesses. Trace collects, compresses, and exports debug information for analysis. Trace works in real-time and does not impact the execution of the system. For more information on board design guidelines for trace advanced emulation, see the Emulation and Trace Headers Technical Reference in 1.10 Related Documentation from Texas Instruments on page 21.
9.36.6.1 Trace Electrical Data/Timing
Table 9-69
(see Figure 9-60) No. 1 1 2 2 3 tw(DPnH) tw(DPnL) tw(DPnL)10% tsko(DPn) tskp(DPn) tsldp_o(DPn) End of Table 9-69 Pulse duration, DPn/EMUn high Parameter Min 2.4 1.5 2.4 1.5 -1 1 600 3.3 Max Unit ns ns ns ns ns ps V/ns
tw(DPnH)90% Pulse duration, DPn/EMUn high detected at 90% Voh Pulse duration, DPn/EMUn low Pulse duration, DPn/EMUn low detected at 10% Voh Output skew time, time delay difference between DPn/EMUn pins configured as trace Pulse skew, magnitude of difference between high-to-low (tphl) and low-to-high (tplh) propagation delays. Output slew rate DPn/EMUn
Figure 9-60
Trace Timing
A TPLH Buffer Inputs Buffers DP[n] / EMU[n] Pins B 1 B 3 C C TPHL 2
9.36.7 IEEE 1149.1 JTAG The Joint Test Action Group (JTAG) interface is used to support boundary scan and emulation of the device. The boundary scan supported allows for an asynchronous test reset (TRST) and only the five baseline JTAG signals (e.g., no EMU[1:0]) required for boundary scan. Most interfaces on the device follow the Boundary Scan Test Specification (IEEE1149.1), while all of the SerDes (SRIO and SGMII) support the AC-coupled net test defined in AC-Coupled Net Test Specification (IEEE1149.6). It is expected that all compliant devices are connected through the same JTAG interface, in daisy-chain fashion, in accordance with the specification. The JTAG interface uses 1.8-V LVCMOS buffers, compliant with the Power Supply Voltage and Interface Standard for Nonterminated Digital Integrated Circuit Specification (EAI/JESD8-5).
9.36.7.1 IEEE 1149.1 JTAG Compatibility Statement
For maximum reliability, the TCI6636K2H device includes an internal pulldown (IPD) on the TRST pin to ensure that TRST will always be asserted upon power up and the devices internal emulation logic will always be properly initialized when this pin is not routed out. JTAG controllers from Texas Instruments actively drive TRST high. However, some third-party JTAG controllers may not drive TRST high, but expect the use of an external pullup resistor on TRST. When using this type of JTAG controller, assert TRST to initialize the device after powerup and externally drive TRST high before attempting any emulation or boundary scan operations.
Copyright 2013 Texas Instruments Incorporated Submit Documentation Feedback TCI6636K2H Peripheral Information and Electrical Specifications 343
PRODUCT PREVIEW
PRODUCT PREVIEW
Table 9-71
(see Figure 9-61) No. 2
td(TCKL-TDOV)
Figure 9-61
344
A Revision History
Revision D Added SRIOSGMIICLK clocking info to the table. (Page 305) Corrected USBVBUS terminal designation. It is not reserved. (Page 58) Added the bridge numbers to the Interconnect tables in the System Interconnect chapter (Page 194) Added the TeraNet drawings to the System Interconnect chapter (Page 190) Updated the Power-Up Sequence information in the Peripheral Information and Electrical Specifications chapter (Page 271) Corrected Event (48-80) Names (Page 116) Changed SerDes field to Reserved as it is not implemented (Page 224) Corrected Buffer Type (Page 268) Added DEVSPEED address (Page 241) Removed PLLLOCK LOCK, STAT and EVAL registers (Page 241)
PRODUCT PREVIEW
Removed PLLLOCK STAT and EVAL registers (Page 241) Changed the power up order of power rails (Page 271) Changed CPTS_RFTCLK_SEL from three bits to four bits (Page 326) Updated L3 memory data (Page 28) Updated L2 memory (Page 27) Updated memory data for L2 and MSM (Page 25) Updated MSM SRAM data (Page 28) Updated the C66x CorePac Block Diagram (Page 24) Revision C Added a footnote to the System Event Mapping table (Page 113) Added CHIP_MISC_CTL1 register (Page 231) Added Initial Voltage for SR core supply (Page 258) Added the Boot Parameter Table section (Page 217) Updated the PWRSTATECTL register (Page 239) Updated the register bit fields (Page 239) Updated the L1 and L2 specs. Changed 4-way to 2-way, and changed 8-way to 16-way. (Page 32) Added ARM PLL Configuration info for 1400 MHz device (Page 226) Added bit 13 as PAPLL in the PASSPLLCTL1 register (Page 301) Added Note "Each supply must ramp monotonically and must reach a stable valid level in 20ms or less" (Page 267) Added Note "Each supply must ramp monotonically and must reach a stable valid level in 20ms or less" (Page 265) Changed PLLD at 156.25 from 24 to 2 for 1200 MHz device (Page 225) Updated PLLD at 156.25 from 0 to 3 for 800 MHz devices (Page 225) Updated the CVDD and its associated peripheral (Page 259) Added tying CVDD and CVDDT together (Page 60) Updated with CVDDS (Page 267) Updated with CVDDS (Page 265) Corrected rise and fall time of all differential clock pairs (Page 296) Corrected rise and fall time of differential clock pairs (Page 297) Added additional information to Emulation Features and Capability section (Page 329) Changed 5000 to 6000 (Page 88) Corrected the ARM_LENDIAN configuration pin description (Page 227) Added ARMCLK specification (Page 296) Added ARMCLK specification (Page 296) Changed EMIF16 CS(x) to EMIF16 CE(x-2) (Page 84) Changed 1200.80 to 1228.80 (Page 225) Updated with miscellaneous information (Page 272)
344
Revision History
SPRS835DAugust 2013
Updated with miscellaneous information (Page 271) Updated the ALNCTL Register in the Peripheral Information and Electrical Specifications chapter. (Page 289) Updated the DCHANGE Register in the Peripheral Information and Electrical Specifications chapter. (Page 289) Changed to not support external charge pump for 5V (Page 325) Changed bit to pin (Page 227) Updated BOOTMODE pins and MIN information (Page 202) Updated the Clocking info. (Page 35) Revision B Added Terminal functions and pin list tables. (Page 42) Reorganized memory content. (Page 85) Added device pin map. (Page 37) Revision A Added Device Boot and Configuration chapter. (Page 195) Added Device Operating Conditions chapter. (Page 239) Added Peripheral Information and Electrical Specifications chapter. (Page 243) Added System Interconnect chapter. (Page 182) In the SPI Switching Characteristics table: Changed the incorrect SPIx_Clk signal name to SPICLK. (Page 288) In the SPI Switching Characteristics table: Changed the incorrect SPIx_SCS signal name to SPISCSx. (Page 289) In the SPI Switching Characteristics table: Corrected signal name from SPIx_SIMO to SPIDOUT. (Page 288) In the SPI Timing Requirements table: Changed the incorrect SPIx_Clk signal name to SPICLK. (Page 288) In the SPI Timing Requirements table: Corrected signal name SPIx_SOMI to SPIDIN. (Page 288) Added Security section (Page 208) Added thermal values into the Thermal Resistance Characteristics table. (Page 312)
Revision History
345
PRODUCT PREVIEW
B Mechanical Data
B.1 Thermal Data
Table B-1 shows the thermal resistance characteristics for the PBGA - AAW mechanical package.
Table B-1
No. 1 2 RJC RJB Junction-to-case Junction-to-board
PRODUCT PREVIEW
346
Mechanical Data
IMPORTANT NOTICE
Texas Instruments Incorporated and its subsidiaries (TI) reserve the right to make corrections, enhancements, improvements and other changes to its semiconductor products and services per JESD46, latest issue, and to discontinue any product or service per JESD48, latest issue. Buyers should obtain the latest relevant information before placing orders and should verify that such information is current and complete. All semiconductor products (also referred to herein as components) are sold subject to TIs terms and conditions of sale supplied at the time of order acknowledgment. TI warrants performance of its components to the specifications applicable at the time of sale, in accordance with the warranty in TIs terms and conditions of sale of semiconductor products. Testing and other quality control techniques are used to the extent TI deems necessary to support this warranty. Except where mandated by applicable law, testing of all parameters of each component is not necessarily performed. TI assumes no liability for applications assistance or the design of Buyers products. Buyers are responsible for their products and applications using TI components. To minimize the risks associated with Buyers products and applications, Buyers should provide adequate design and operating safeguards. TI does not warrant or represent that any license, either express or implied, is granted under any patent right, copyright, mask work right, or other intellectual property right relating to any combination, machine, or process in which TI components or services are used. Information published by TI regarding third-party products or services does not constitute a license to use such products or services or a warranty or endorsement thereof. Use of such information may require a license from a third party under the patents or other intellectual property of the third party, or a license from TI under the patents or other intellectual property of TI. Reproduction of significant portions of TI information in TI data books or data sheets is permissible only if reproduction is without alteration and is accompanied by all associated warranties, conditions, limitations, and notices. TI is not responsible or liable for such altered documentation. Information of third parties may be subject to additional restrictions. Resale of TI components or services with statements different from or beyond the parameters stated by TI for that component or service voids all express and any implied warranties for the associated TI component or service and is an unfair and deceptive business practice. TI is not responsible or liable for any such statements. Buyer acknowledges and agrees that it is solely responsible for compliance with all legal, regulatory and safety-related requirements concerning its products, and any use of TI components in its applications, notwithstanding any applications-related information or support that may be provided by TI. Buyer represents and agrees that it has all the necessary expertise to create and implement safeguards which anticipate dangerous consequences of failures, monitor failures and their consequences, lessen the likelihood of failures that might cause harm and take appropriate remedial actions. Buyer will fully indemnify TI and its representatives against any damages arising out of the use of any TI components in safety-critical applications. In some cases, TI components may be promoted specifically to facilitate safety-related applications. With such components, TIs goal is to help enable customers to design and create their own end-product solutions that meet applicable functional safety standards and requirements. Nonetheless, such components are subject to these terms. No TI components are authorized for use in FDA Class III (or similar life-critical medical equipment) unless authorized officers of the parties have executed a special agreement specifically governing such use. Only those TI components which TI has specifically designated as military grade or enhanced plastic are designed and intended for use in military/aerospace applications or environments. Buyer acknowledges and agrees that any military or aerospace use of TI components which have not been so designated is solely at the Buyer's risk, and that Buyer is solely responsible for compliance with all legal and regulatory requirements in connection with such use. TI has specifically designated certain components as meeting ISO/TS16949 requirements, mainly for automotive use. In any case of use of non-designated products, TI will not be responsible for any failure to meet ISO/TS16949. Products Audio Amplifiers Data Converters DLP Products DSP Clocks and Timers Interface Logic Power Mgmt Microcontrollers RFID OMAP Applications Processors Wireless Connectivity www.ti.com/audio amplifier.ti.com dataconverter.ti.com www.dlp.com dsp.ti.com www.ti.com/clocks interface.ti.com logic.ti.com power.ti.com microcontroller.ti.com www.ti-rfid.com www.ti.com/omap TI E2E Community e2e.ti.com www.ti.com/wirelessconnectivity Mailing Address: Texas Instruments, Post Office Box 655303, Dallas, Texas 75265 Copyright 2013, Texas Instruments Incorporated Applications Automotive and Transportation Communications and Telecom Computers and Peripherals Consumer Electronics Energy and Lighting Industrial Medical Security Space, Avionics and Defense Video and Imaging www.ti.com/automotive www.ti.com/communications www.ti.com/computers www.ti.com/consumer-apps www.ti.com/energy www.ti.com/industrial www.ti.com/medical www.ti.com/security www.ti.com/space-avionics-defense www.ti.com/video