Sensors 19 0207

Download as pdf or txt
Download as pdf or txt
You are on page 1of 20

sensors

Article
Proximity Gettering Design of
Hydrocarbon–Molecular–Ion–Implanted Silicon
Wafers Using Dark Current Spectroscopy for CMOS
Image Sensors
Kazunari Kurita * , Takeshi Kadono, Satoshi Shigematsu , Ryo Hirose, Ryosuke Okuyama,
Ayumi Onaka-Masada, Hidehiko Okuda and Yoshihiro Koga
SUMCO Corporation, 1-52 Kubara, Yamashiro-cho, Imari-shi, Saga 849-4256, Japan;
tkadono@sumcosi.com (T.K.); sshigema@sumcosi.com (S.S.); rhirose@sumcosi.com (R.H.);
rokuyama@sumcosi.com (R.O.); aonaka@sumcosi.com (A.O.-M.); hokuda@sumcosi.com (H.O.);
ykoga4@sumcosi.com (Y.K.)
* Correspondence: k-kurita@sumcosi.com; Tel.: +81-955-20-2298

Received: 27 March 2019; Accepted: 1 May 2019; Published: 4 May 2019 

Abstract: We developed silicon epitaxial wafers with high gettering capability by using
hydrocarbon–molecular–ion implantation. These wafers also have the effect of hydrogen
passivation on process-induced defects and a barrier to out-diffusion of oxygen of the Czochralski
silicon (CZ) substrate bulk during Complementary metal-oxide-semiconductor (CMOS) device
fabrication processes. We evaluated the electrical device performance of CMOS image sensor
fabricated on this type of wafer by using dark current spectroscopy. We found fewer white
spot defects compared with those of intrinsic gettering (IG) silicon wafers. We believe that these
hydrocarbon–molecular–ion–implanted silicon epitaxial wafers will improve the device performance
of CMOS image sensors.

Keywords: gettering; CMOS image sensor; metal impurity; white spot defects; dark current; silicon
wafer; dark current spectroscopy

1. Introduction
Complementary metal-oxide-semiconductor (CMOS) image sensors are widely used in
smartphones, smartwatches and tablets computers. Demand from the consumer market for higher
sensitivity imaging, wider dynamic range, and higher speed image data processing is driving the
development of image sensors with higher performance [1,2]. However, there are serious technical
issues with advanced CMOS image sensor manufacturing, as shown in Figure 1.
One issue is metallic impurity contamination in the device’s active region that may occur during
fabrication processes such as high-temperature rapid thermal annealing and plasma etching [3,4].
Metallic impurities form deep-energy-level defects in the silicon band gap. These defects in turn
strongly affect electrical device parameters such as dark current, white spot defect, recombination
lifetime, and transfer gate oxide breakdown voltage [4–9]. Thus, CMOS image sensor manufacture
requires metallic impurities to be eliminated from the device active region.
The second issue is oxygen out-diffusion from the Czochralski silicon (CZ) silicon substrate to the
active region during the device fabrication process [10]. The CZ silicon substrate acquires many oxygen
impurities in the silicon crystal bulk during crystal growth. Oxygen impurities form oxygen-related
deep energy level defects such as potential barriers or potential pockets in the space charge region of

Sensors 2019, 19, 2073; doi:10.3390/s19092073 www.mdpi.com/journal/sensors


Sensors 2019, 19, 2073 2 of 20

the photo-diode and transfer transistor gate channel [2]. These defects affect the device performance of
perfect charge carrier transfer operation such as image lag [1,2].
The third issue is the fixed pattern noise (FIX) and random telegraph signal noise (RTS) induced
by interface state traps at Si/SiO2 interfaces such as the transfer transistor gate, shallow trench isolation
(STI), deep trench isolation (DTI), and local oxidation silicon (LOCOS) [11]. These interface state traps
act as generation-recombination (G-R) center that increased FIX and RTS noise. The noise strongly
affects the electrical performance parameters of CMOS image sensor devices [12,13].
In the past two decades, semiconductor industrial researchers have tried to resolve the above
issues. Metallic impurity contamination is an extremely serious one for advanced CMOS image sensor
manufacturing. It has been proposed that gettering techniques can be used to remove this sort of
contamination from the device active area during the fabrication process. Here, intrinsic gettering (IG)
is widely used in the semiconductor device manufacturing [14,15]. IG forms oxygen precipitates in the
silicon crystal bulk that act as gettering sinks during the fabrication process.
The trend in thermal budgets of CMOS device processes is to use lower temperature and short
durations [16]. As a result, it has become extremely difficult for IG to form oxygen precipitates during
the advanced CMOS device process. Another gettering technique, extrinsic gettering, uses high energy
ion implantation [17,18]. Kuroi et al. reported that implantation of boron under the junction reduces
the leakage current of copper contaminated pn-junction compared with a junction that had not been
implanted [19]. Here, the high-energy boron implantation forms extended defects such as dislocations
and dislocation loops under the junction. These extended defects act as gettering sinks during the
CMOS device process. However, this technique induces implantation damage in the top surface region
of the wafer [20–23]. It is very difficult to repair this damage in the case of a low temperature thermal
budget, and the defects degrade the device yield and performance of electrical device.
Oxygen out-diffusion is also a critical issue in advanced CMOS image sensor fabrication.
Shoyama et al. examined the white spot defect dependence on the initial oxygen concentration
in the CZ silicon substrate by using the dark current spectroscopy (DCS) [10]. They found that
oxygen impurities out-diffused to the device active region from the CZ silicon substrate during the
CMOS device process. The oxygen impurities form deep energy level defects that act as G-R center
in the space-charge region and transfer gate channel region. This sort of defect strongly affects the
electrical performance parameters of the device. Thus, CMOS image sensor manufacturers have tried
to eliminate oxygen impurities from device active region. One way to do so is to use a CZ grown
silicon crystal with a low oxygen concentration, which decreases the initial oxygen concentration in the
CZ silicon bulk [16]. In this way, it is possible to decrease oxygen diffusion to the device active region
during the device fabrication process. However, it is insufficient to control the oxygen out-diffusion to
the device active region from CZ grown silicon substrates with a low oxygen concentration.
FIX and RTS noise hinder noise reduction in advanced CMOS image sensors. The most common
solution is to use low-temperature hydrogen annealing after the front-end-line (FEOL) process [3].
This annealing treatment can decrease the interface-state traps at Si/SiO2 interface defects such as Pb
center and E’ centers by being a hydrogen terminated process [24]. However, 3D-stacked CMOS image
sensor (3D-CIS) fabrication process often uses atomic layer deposition (ALE) to make multiple stacks
of surface deposits [4,25,26]. Multiple dielectric layers are deposited on the device surface before
the low-temperature hydrogen annealing treatment. Thus, dielectric layers prevent hydrogen from
diffusing into the device active region and most of the hydrogen atoms are trapped in the multiple
dielectric layers during the annealing. However, this method does not trap enough hydrogen to
prevent process-induced defects passivated by hydrogen. For this reason, manufacturers should
develop alternative low-temperature hydrogen annealing for decreasing the interface-state traps in the
Si/SiO2 interface region [13].
How can we address the above technical issues associated with CMOS image-sensor fabrication?
We have undertaken extensive considerations of this question of silicon wafer gettering design
and have developed technology such as proximity gettering using hydrocarbon–molecular–ion
Sensors 2019, 19, x FOR PEER REVIEW 3 of 19

Third,2019,
Sensors hydrogen
19, 2073 diffuses from the hydrocarbon–molecular–ion projection range into the active 3 of 20
region during the CMOS device heat treatment [29].
In this study, we used dark current spectroscopy to compare the metallic impurity gettering
implantation
capabilities of for advanced CMOS image sensor fabrication
hydrocarbon–molecular–ion–implanted processes.
epitaxial silicon wafers We and found that a
IG enhanced
hydrocarbon–molecular–ion–implanted epitaxial silicon wafer has three unique
epitaxial silicon wafers (carbon-doped CZ silicon wafer). Moreover, we studied the dependence of characteristics
that improve
the metal the electrical
gettering capabilitydevice
on theperformance of CMOS
ion implantation image (dose)
conditions sensorand[27–29]. First,
epitaxial growththe
hydrocarbon–molecular–ion projection
conditions (epitaxial layer thickness). range has high gettering capability for metallic impurities [28].
Second,
We this
foundprojection range
that this novelalso has a diffusion
proximity barrier
gettering effect
silicon preventing
wafer oxygen
has higher impurities
gettering from
capability
out-diffusing
compared with from the
an IG CZ silicon
enhanced grown
silicon substrate
wafer. Here, weintodescribe
the device active region
the concept during
of silicon waferthe CMOS
gettering
device heat treatment [29]. Third, hydrogen diffuses from the hydrocarbon–molecular–ion
design using hydrocarbon–molecular–ion implantation for advanced CMOS image-sensor projection
range into the active region during the CMOS device heat treatment [29].
fabrication.

Figure 1.
Figure 1. Technological
Technological issues
issues of CMOS image
of CMOS image sensor
sensor fabrication.
fabrication. Modified
Modified from
from Kurita
Kurita et
et al.
al. [29],
[29],
Copyright (2016)
Copyright (2016) The
The Japan
Japan Society
Society of
of Applied
Applied Physics.
Physics.

2. Production of Hydrocarbon–Molecular–Ion–Implanted
In this study, Epitaxialthe
we used dark current spectroscopy to compare Silicon Wafer
metallic impurity gettering
capabilities of hydrocarbon–molecular–ion–implanted epitaxial silicon wafers and IG enhanced
Figure 2 shows the concept underlying production of hydrocarbon–molecular–ion–implanted
epitaxial silicon wafers (carbon-doped CZ silicon wafer). Moreover, we studied the dependence of the
epitaxial silicon wafers. First, hydrocarbon molecular ions are generated using the electron impact
metal gettering capability on the ion implantation conditions (dose) and epitaxial growth conditions
ionization method [27–29]. Second, these ions, such as C3H5 ion fragments, are implanted in the
(epitaxial layer thickness).
silicon wafer top-surface region by using a hydrocarbon–molecular–ion implanter (CLARIS, Nissin
We found that this novel proximity gettering silicon wafer has higher gettering capability compared
Ion Equipment, Kyoto, Japan) [30–32]. The C3H5 ion fragments forms as hydrocarbon–molecular–ion
with an IG enhanced silicon wafer. Here, we describe the concept of silicon wafer gettering design
projection range after implantation. Finally, an epitaxial layer is deposited on the silicon wafer surface
using hydrocarbon–molecular–ion implantation for advanced CMOS image-sensor fabrication.
using chemical vapor deposition. The subsequent production does not use an additional heat
treatment
2. for re-crystallization
Production of the implantation projection
of Hydrocarbon–Molecular–Ion–Implanted range. Thus,
Epitaxial it isWafer
Silicon very simple for silicon
wafer manufacture [27].
Figure 2 shows the concept underlying production of hydrocarbon–molecular–ion–implanted
epitaxial silicon wafers. First, hydrocarbon molecular ions are generated using the electron impact
ionization method [27–29]. Second, these ions, such as C3 H5 ion fragments, are implanted in the
silicon wafer top-surface region by using a hydrocarbon–molecular–ion implanter (CLARIS, Nissin
Ion Equipment, Kyoto, Japan) [30–32]. The C3 H5 ion fragments forms as hydrocarbon–molecular–ion
projection range after implantation. Finally, an epitaxial layer is deposited on the silicon wafer surface
using chemical vapor deposition. The subsequent production does not use an additional heat treatment
for re-crystallization of the implantation projection range. Thus, it is very simple for silicon wafer
manufacture [27].

Figure 2. Production of hydrocarbon–molecular–ion–implanted epitaxial silicon wafers. Modified from


Kurita et al. [29], Copyright (2016) The Japan Society of Applied Physics.
silicon wafer top-surface region by using a hydrocarbon–molecular–ion implanter (CLARIS, Nissin
Ion Equipment, Kyoto, Japan) [30–32]. The C3H5 ion fragments forms as hydrocarbon–molecular–ion
projection range after implantation. Finally, an epitaxial layer is deposited on the silicon wafer surface
using chemical vapor deposition. The subsequent production does not use an additional heat
treatment for re-crystallization of the implantation projection range. Thus, it is very simple for silicon
Sensors 2019, 19, 2073 4 of 20
wafer manufacture [27].

Figure
Figure
Sensors 2.
2. Production
2019, 19, FOR PEER of
xProduction ofhydrocarbon–molecular–ion–implanted
hydrocarbon–molecular–ion–implanted
REVIEW epitaxial silicon
epitaxial wafers.
silicon Modified
wafers. from4 of 19
Modified
Kurita
Sensorsfrom et xal.FOR
2019,Kurita
19, [29],
et Copyright
PEER
al. [29],REVIEW (2016)(2016)
Copyright The Japan Society
The Japan of Applied
Society Physics.
of Applied Physics. 4 of 19
Figure 3 shows carbon and hydrogen depth profiles in a hydrocarbon–molecular–ion–implanted
Figure
silicon wafer3 shows carbon
carbon
measured and
and hydrogen
hydrogen
by secondary depth
depth
ion mass profiles
profiles in
spectroscopyin aahydrocarbon–molecular–ion–implanted
hydrocarbon–molecular–ion–implanted
(SIMS) [29]. The hydrocarbon molecular
silicon wafer
ions form measured
a carbon byhydrogen
and secondaryprojection
ion mass spectroscopy
range. Figure(SIMS) [29].the
4 shows The hydrocarbon
TheSIMS
hydrocarbon molecular
depth profile in a
ions form a carbon and hydrogen projection range. Figure
Figure 4
4 shows
shows the
hydrocarbon–molecular–ion–implanted silicon wafer after epitaxial growth [29].the SIMS
SIMS depth
depth profile in a
hydrocarbon–molecular–ion–implanted silicon wafer after after epitaxial
epitaxial growth
growth [29].
[29].

Figure 3. SIMS depth profile of hydrocarbon–molecular–ion–implanted silicon wafers [29]. Copyright


Figure 3. SIMS depth profile of hydrocarbon–molecular–ion–implanted silicon wafers [29]. Copyright
Figure 3. SIMS
(2016) The
The depth
Japan profile
Society of hydrocarbon–molecular–ion–implanted
of Applied
Applied Physics. silicon wafers [29]. Copyright
(2016) Japan Society of Physics.
(2016) The Japan Society of Applied Physics.

Figure 4. SIMS depth profile of hydrocarbon–molecular–ion–implanted epitaxial silicon wafers.


Figure 4. SIMS depth profile of hydrocarbon–molecular–ion–implanted epitaxial silicon wafers. The
The epitaxial
Figure SIMSthickness
4. thickness
depth is 7 µm and hydrocarbon dose amount is 1 × 1015 cm−2 . Modified from
epitaxial is 7profile
μm and of hydrocarbon
hydrocarbon–molecular–ion–implanted
dose amount is 1 × 1015 cm−2epitaxial silicon
. Modified from wafers.
Kurita etThe
al.
Kurita et thickness
epitaxial al. [29], Copyright
is 7 μm (2016)
and The Japandose
hydrocarbon Society of Applied
amount is 1 × Physics.
10 15 cm−2. Modified from Kurita et al.
[29], Copyright (2016) The Japan Society of Applied Physics.
[29], Copyright (2016) The Japan Society of Applied Physics.
The results illustrated in these figures are interesting, because the peak concentration of
hydrogenresults
The illustrated
was decreased in epitaxial
by the these figures
growth.areThe
interesting, because the
peak concentration peak
of the concentration
remaining hydrogenof
hydrogen was decreased by the epitaxial growth. The peak concentration of the remaining
was 1018 cm−3 in the hydrocarbon–molecular–ion projection range [33,34]. Generally, when monomer- hydrogen
was 1018 cmis−3implanted
hydrogen in the hydrocarbon–molecular–ion
in silicon crystal bulk, it projection range [33,34].
does not remain Generally,
in the silicon when
crystal monomer-
bulk after the
hydrogen is implanted in silicon crystal bulk, it does not remain in the silicon crystal
epitaxial growth process and instead out-diffuses to the silicon wafer surface and back surface bulk after the
during
Sensors 2019, 19, 2073 5 of 20

The results illustrated in these figures are interesting, because the peak concentration of hydrogen
was decreased by the epitaxial growth. The peak concentration of the remaining hydrogen was 1018 cm−3
in the hydrocarbon–molecular–ion projection range [33,34]. Generally, when monomer-hydrogen
is implanted in silicon crystal bulk, it does not remain in the silicon crystal bulk after the epitaxial
growth process and instead out-diffuses to the silicon wafer surface and back surface during the
growth process. However, in the case of hydrocarbon–molecular implantation, hydrogen is gettered
from the hydrocarbon–molecular implantation projected range after the epitaxial growth process.
The main reason is that the projection range forms stress and strain fields that act as gettering sinks
for hydrogen [33,34]. Furthermore, oxygen impurities out-diffuse into the epitaxial layer from the
CZ silicon substrate during the growth process. Oxygen is gettered by the hydrocarbon–molecular
implantation projection range [35,36]. Figure 5 shows the depth profile of oxygen impurity with and
without hydrocarbon–molecular–ion–implanted epitaxial silicon wafer after heat treatment [28]. This
result indicates oxygen impurity out-diffused to the epitaxial layer from CZ silicon substrate during
the heat
Sensors treatment.
2019, However,
19, x FOR PEER REVIEW oxygen out-diffusion amount with hydrocarbon–molecular implantation 5 of 19
is lower than that without hydrocarbon–molecular implantation in epitaxial layer/substrate interface
region. These results
layer/substrate indicate
interface thatThese
region. the hydrocarbon–molecular–implanted epitaxial silicon wafer has
results indicate that the hydrocarbon–molecular–implanted
high gettering
epitaxial siliconcapability
wafer hasfor light
high elements
gettering such as hydrogen
capability and oxygen.
for light elements such as hydrogen and oxygen.

Figure 5. SIMS
SIMSdepth
depthprofile of of
profile oxygen
oxygen impurity
impuritywith andand
with without hydrocarbon–molecular–ion–
without hydrocarbon–molecular–
implanted epitaxial
ion–implanted silicon
epitaxial wafers
silicon after
wafers heat
after treatment.
heat treatment.Modified
Modifiedfrom
fromKurita
Kuritaetetal.
al. [28],
[28], Copyright
Japan Society
(2015) The Japan Society of
of Applied
Applied Physics.
Physics.

3. Materials and
3. Materials and Methods
Methods

3.1. Sample Preparation


3.1. Sample Preparation
The experimental sample used in this study were 12-inch (100) phosphorus and carbon-doped CZ
The experimental sample used in this study were 12-inch (100) phosphorus and carbon-doped
silicon single crystals that were 750 µm thick. We call them IG enhanced CZ silicon substrates. The
CZ silicon single crystals that were 750 μm thick. We call them IG enhanced CZ silicon substrates.
dopant concentration was 1 × 1015 cm−3 15for phosphorus and 3 × 1016 cm−3 for carbon. Their resistivity
The dopant concentration was 1 × 10 cm−3 for phosphorus18and 3 × 10316 cm−3 for carbon. Their
was 10 Ω cm and their initial oxygen concentration was 1.4 × 10 atoms/cm 18(old ASTM). The sample
resistivity was 10 Ω cm and their initial oxygen concentration was 1.4 × 10 atoms/cm3 (old ASTM).
wafers were subjected to C3 H5 implantation with molecular ions at an energy of 80 keV to dose from
The sample wafers were −2 . subjected to C3H5 implantation with molecular ions at an energy of 80 keV
1 × 1014 to 1 × 1015 cm The thicknesses of the epitaxial layers deposited on the silicon substrate by
to dose from 1 × 1014 to 1 × 1015 cm−2. The thicknesses of the epitaxial layers deposited on the silicon
chemical vapor deposition were 5 µm and 7 µm. Their resistivity was 10 Ω cm.
substrate by chemical vapor deposition were 5 μm and 7 μm. Their resistivity was 10 Ω cm.
3.2. Evaluation Technique for Hydrocarbon–Molecular–Ion–Implanted Silicon Wafers
3.2. Evaluation Technique for Hydrocarbon–Molecular–Ion–Implanted Silicon Wafers
We measured the metallic impurity concentration in the hydrocarbon–molecular–ion implantation
We measured the metallic impurity concentration in the hydrocarbon–molecular–ion
projection range by using secondary ion mass spectroscopy (SIMS). We also measured oxygen
implantation projection range by using secondary ion mass spectroscopy (SIMS). We also measured
precipitation defects in the silicon substrate bulk by using optical microscopy observation method after
oxygen precipitation defects in the silicon substrate bulk by using optical microscopy observation
method after the CMOS image sensor fabrication process. The structure of hydrocarbon–molecular–
ion implantation related defects was analyzed by cross-sectional high-resolution transmission
electron microscopy (TEM) and scanning electron microscopy (SEM). We analyzed the impurity
distribution mapping of the hydrogen molecular ion implantation projection range at the atomic level
Sensors 2019, 19, 2073 6 of 20

the CMOS image sensor fabrication process. The structure of hydrocarbon–molecular–ion implantation
related defects was analyzed by cross-sectional high-resolution transmission electron microscopy
(TEM) and scanning electron microscopy (SEM). We analyzed the impurity distribution mapping of
the hydrogen molecular ion implantation projection range at the atomic level by using laser assisted
atom probe tomography (APT) [37].

3.3. Gettering Capability Evaluation Using Dark Current Spectroscopy


We fabricated a CMOS image sensor pixel architecture with a four-transistor-type pinned
photo-diode using the CMOS device fabrication process [38,39]. We evaluated the white-spot defects
of the image sensor by using dark current spectroscopy (DCS) [40–42]. DCS is an extremely powerful
metallic contamination analysis tool for charge coupled device (CCDs) and CMOS image sensors. This
technique is very similar to deep level transient spectroscopy (DLTS) [43]. In particular, DLTS is able
to measure the activation energy and capture cross section for metallic impurities in semiconductor
materials using metal Shottkey barrier-junction diodes and pn-junction diodes. Unfortunately, DLTS
is not able to measurement the physical parameters of defects in CMOS devices such as metal oxide
semiconductor field effect transistor (MOS-FETs) [44].
In contrast, DCS can measure metallic-impurity-related defects in conventional CMOS device
structure such as MOS-FETs. For this reason, it is often used by manufacturers for testing of CMOS
image sensor device performance parameters such as dark current and white-spot defects. Dark
current and white-spot defect origins are from deep energy level defects of metallic impurities that
form in the photo-diode space charge region during the device fabrication process. McGrath et al. were
the first to use DCS to study defect formation and reported that the metallic impurities contaminated
CCDs [40]. Domengie et al. used DCS to analyze the dark current spectrum of intentionally doped
metallic impurities (Au and W) CMOS image sensor [45]. They observed substantially increased dark
current spectrum intensity in metal contaminated CMOS image sensor compared with that of CMOS
image sensor without intentional metal contamination. They found that the dark current spectrum
intensity strongly depends on the concentration of intentional metallic contamination. Moreover,
semiconductor manufacturers have used DCS for metallic impurity contamination analysis of CCD
and CMOS image sensor [41,45–48]. Here, we decided to focus on using DCS to analyze white-spot
defects in CMOS image sensors fabricated on an actual production line.

4. Results and Discussion

4.1. Metallic Impurity Gettering Capability of Hydrocarbon–Molecular–Ion–Implanted Epitaxial Silicon Wafer


after Heat Treatment
Figure 6 shows depth profile of Mo, W and Ti metallic impurities in the implanted epitaxial silicon
wafers after they had undergone heat treatment. The intentionally implanted impurities contaminated
the surface. The metallic impurity contamination of implantation condition was acceleration energy at
100 keV and dose amount was 1 × 1011 cm−2 by using monomer ion implanter. After ion implantation
and diffusion annealing for metallic impurities, we used SIMS to measure depth profiles of the metallic
impurities in the hydrocarbon–molecular implanted projection range. Figure 6 indicates that metallic
impurities were gettered by the carbon and hydrogen projection range after the diffusion heat treatment.
Thus, this novel silicon wafer has high gettering capability for metallic impurities.
acceleration energy at 100 keV and dose amount was 1 × 1011 cm−2 by using monomer ion implanter.
After ion implantation and diffusion annealing for metallic impurities, we used SIMS to measure
depth profiles of the metallic impurities in the hydrocarbon–molecular implanted projection range.
Figure 6 indicates that metallic impurities were gettered by the carbon and hydrogen projection range
after
Sensorsthe
2019,diffusion
19, 2073 heat treatment. Thus, this novel silicon wafer has high gettering capability
7 offor
20
metallic impurities.

Figure 6. SIMS depth profile of hydrocarbon–molecular–ion–implanted epitaxial silicon wafers after


metallic impurity diffusion heat treatment [29]. The epitaxial thickness is 7 μm hydrocarbon dose
µm and hydrocarbon
amount isis11××1010 15 cm
15 cm −2 . Modified from Kurita et al. [29], Copyright (2016) The Japan Society of
−2. Modified from Kurita et al. [29], Copyright (2016) The Japan Society of Applied
Applied Physics.
Physics.

4.2. Gettering Capability Dependence on Gettering Methods for Silicon Wafers


4.2. Gettering Capability Dependence on Gettering Methods for Silicon Wafers
Figure 7 shows the dark current spectra of CMOS image sensors with and without
Figure 7 shows the dark current spectra of CMOS image sensors with and without hydrocarbon–
hydrocarbon–molecular–ion implantation (both sensors used IG enhanced CZ silicon substrate).
molecular–ion implantation (both sensors used IG enhanced CZ silicon substrate). The dark currents
The dark currents of sensors with hydrocarbon–molecular implantation were substantially fewer
of sensors with hydrocarbon–molecular implantation were substantially fewer than those of the
than
Sensorsthose
2019, of xthe
19, FORsensor fabricated without the implantation, as shown in Figure 8. Figure 9 shows
PEER REVIEW 7 of 19
sensor fabricated without the implantation, as shown in Figure 8. Figure 9 shows the results of cross-
the results of cross-sectional oxygen precipitation observations made using an optical microscopy
sectional oxygen precipitation observations made using an optical microscopy measurement. The
measurement.
CMOS image sensors The CMOS showedimageno sensors showed
difference no difference
in oxygen precipitatein defect
oxygen precipitate
density after defect density
the fabrication
after the (oxygen
process fabrication process (oxygen
precipitate precipitate
defect: we call them defect: we calldefect
bulk micro them (BMD)).
bulk micro
Thedefect (BMD)). did
IG technique The not
IG
technique did not affect the dark current reduction phenomenon determines
affect the dark current reduction phenomenon determines the proximity gettering such asthe proximity gettering such
as hydrocarbon–molecular–ion
hydrocarbon–molecular–ion implantation.Thus,
implantation. Thus,wewe supposed
supposed that hydrocarbon–molecular–ion
hydrocarbon–molecular–ion
implantation enhances the
implantation enhances thegettering
getteringcapability
capability compared
compared with
with the the
casecase
of theofIG
the IG enhanced
enhanced CZ
CZ silicon
silicon substrate.
substrate.

Figure 7. Dark
Figure 7. Dark current
current level
level after
after CMOS
CMOS image
image sensor
sensor fabrication
fabrication process
process on
on epitaxial
epitaxial silicon
silicon wafers
wafers
with
with and without hydrocarbon–molecular–ion implantation. The epitaxial thickness is 7 μm and
and without hydrocarbon–molecular–ion implantation. The epitaxial thickness is 7 µm and
15 −2 The dark current levels were measured by dark current
hydrocarbon
hydrocarbon dose amountisis11××10
dose amount 1015 cm
cm−2.. The dark current levels were measured by dark current
spectroscopy ◦ C.
spectroscopyunder
under6060 °C.
Figure 7. Dark current level after CMOS image sensor fabrication process on epitaxial silicon wafers
with and without hydrocarbon–molecular–ion implantation. The epitaxial thickness is 7 μm and
hydrocarbon dose amount is 1 × 1015 cm−2. The dark current levels were measured by dark current
Sensorsspectroscopy
2019, 19, 2073 under 60 °C. 8 of 20

(a) (b)
Figure 8. Histograms of dark current level after CMOS image sensor fabrication process on epitaxial
without hydrocarbon–molecular–ion
silicon wafers with and without hydrocarbon–molecular–ion implantation. The The dark current levels
were measured by dark current spectroscopy under 60 ◦°C. C. The epitaxial thickness is 7 μm
µm and
hydrocarbon–molecular–ion dose
hydrocarbon–molecular–ion doseamount 1015
amountisis11×× 10 15
cm−2
−2. (a) Number of middle range in white spot
. (a) Number of middle range in
Sensorslevel
2019,with
19, x and
FORwithout
PEER REVIEW
hydrocarbon–molecular–ion implantation, (b) Number of large range in white8 of 19
hydrocarbon–molecular–ion implantation,
hydrocarbon–molecular–ion implantation.
spot level with and without hydrocarbon–molecular–ion implantation.

(a) (b)
Figure 9. Cross-sectional
Figure 9. Cross-sectionalbulk
bulk micro
micro defect
defect (BMD)
(BMD) density
density determined
determined by by optical
optical microscopy
microscopy
observation after CMOS image sensor fabrication process on epitaxial
observation after CMOS image sensor fabrication process on epitaxial silicon silicon wafers withwafers
and without
with
hydrocarbon–molecular–ion implantation. implantation.
and without hydrocarbon–molecular–ion The epitaxial thickness is 7 thickness
The epitaxial μm and hydrocarbon–
is 7 µm and
molecular–ion dose amount dose
hydrocarbon–molecular–ion × 1015 cm
is 1 amount is−21. × 15 cm−2
(a)10BMD density of silicon
. (a) BMD densitywafer substrate
of silicon waferwith and
substrate
without
with andhydrogen–molecular–ion implantation
without hydrogen–molecular–ion after CMOS
implantation image image
after CMOS sensorsensor
fabrication process,
fabrication (b)
process,
Optical observation results of BMD depth profile in silicon wafer substrate with
(b) Optical observation results of BMD depth profile in silicon wafer substrate with and without and without
hydrocarbon–molecular–ion
hydrocarbon–molecular–ion implantation after CMOS image sensor fabrication process.

4.3. Gettering
4.3. Gettering Capability
Capability Dependence
Dependence on
on Hydrocarbon–Molecular–Ion
Hydrocarbon–Molecular–Ion Implantation
Implantation Conditions
Conditions
Figure 10
Figure 10 shows
shows the
the dependence
dependence ofof the
thedark
darkcurrent
currentspectra
spectraon
onthe
thehydrocarbon
hydrocarbonmolecular
moleculardose.
dose.
These results indicate that the dark current level strongly depends on the dose. The dark current
These results indicate that the dark current level strongly depends on the dose. The dark current level
level decreased
decreased as theasdose
the dose increased,
increased, as shown
as shown in Figure
in Figure 11.11.
WeWe assumethat
assume thatthe
thedark
darkcurrent
current levels
levels
decreased as a result of the enhanced gettering capability. Our previous study demonstrated
decreased as a result of the enhanced gettering capability. Our previous study demonstrated that the that the
gettering capability of hydrocarbon–molecular–ion–implanted silicon wafers is strongly
gettering capability of hydrocarbon–molecular–ion–implanted silicon wafers is strongly correlated correlated
with hydrocarbon–molecular–ion
with hydrocarbon–molecular–ion implantation
implantation dose
dose [29,36].
[29,36].
Figure 10 shows the dependence of the dark current spectra on the hydrocarbon molecular dose.
These results indicate that the dark current level strongly depends on the dose. The dark current level
decreased as the dose increased, as shown in Figure 11. We assume that the dark current levels
decreased as a result of the enhanced gettering capability. Our previous study demonstrated that the
gettering capability
Sensors 2019, 19, 2073 of hydrocarbon–molecular–ion–implanted silicon wafers is strongly correlated
9 of 20
with hydrocarbon–molecular–ion implantation dose [29,36].

Figure 10. Dark current level of CMOS image sensor depending on hydrocarbon–molecular–ion
Figure 10.19,Dark current level of CMOS image sensor depending on hydrocarbon–molecular–ion dose.
Sensors 2019, x FOR PEER REVIEW 9 of 19
dose. The dark current ◦ C. The epitaxial
The dark current levels levels
were were measured
measured by dark
by dark currentspectroscopy
current spectroscopy under
under 60
60 °C. The epitaxial
thickness is 5 µm.
thickness is 5 μm.

(a) (b)
Figure 11.
Figure 11. Histograms
Histograms of dark current level of CMOS CMOS image
image sensor
sensor depending
depending on on hydrocarbon
hydrocarbon
molecular-ion implantation dose after CMOS image sensor fabrication process. The epitaxial
molecular-ion implantation dose after CMOS image sensor fabrication process. The epitaxial thickness
thickness
is is 5dark
5 µm. The μm.current
The dark current
levels werelevels wereby
measured measured by dark
dark current current spectroscopy
spectroscopy ◦
under 60 C.under 60 °C.
(a) Number
(a)middle
of Number of middle
range range
in white spotin white
level spot level
depends depends
on dose on dose
amount, amount,of(b)
(b) Number Number
large rangeof
inlarge
whiterange
spot
in white
level spot level
depends depends
on dose amount.on dose amount.

4.4.
4.4. Gettering
Gettering Capability
Capability Dependence
Dependence on
on Epitaxial
Epitaxial Growth
Growth Conditions
Conditions (Epitaxial
(Epitaxial Layer
Layer Thickness)
Thickness)
Figure
Figure1212shows
showsthethe
dependence
dependenceof theofdark
thecurrent spectra for
dark current different
spectra for epitaxial
differentlayer thicknesses
epitaxial layer
(thickness: 5 µm and 7 µm). The dark current level of the sample with the 5 µm epitaxial
thicknesses (thickness: 5 μm and 7 μm). The dark current level of the sample with the 5 μm epitaxial layer was
lower than that of the sample with the 7 µm epitaxial layer. This result indicates the dark
layer was lower than that of the sample with the 7 μm epitaxial layer. This result indicates the darkcurrent level
strongly depends
current level on the
strongly epitaxialonlayer
depends the thickness, as shown
epitaxial layer in Figure
thickness, 13. Wein
as shown assume
Figurethat
13. the
We metallic
assume
impurity gettering capability depends on position of the gettering sinks under the active
that the metallic impurity gettering capability depends on position of the gettering sinks under the region.
active region.
thicknesses (thickness: 5 μm and 7 μm). The dark current level of the sample with the 5 μm epitaxial
layer was lower than that of the sample with the 7 μm epitaxial layer. This result indicates the dark
current level strongly depends on the epitaxial layer thickness, as shown in Figure 13. We assume
that the metallic impurity gettering capability depends on position of the gettering sinks under the
Sensors 2019, 19, 2073 10 of 20
active region.

SensorsFigure Figure
2019, 19, Dark
12.PEER current level of CMOS image sensor depending on epitaxial layer thickness.
12.x Dark
FOR REVIEW
current 10 of 19
level of CMOS image sensor depending on epitaxial layer thickness. The dark
The dark current levels were measured by dark current spectroscopy under 60 ◦ C. The
currenthydrocarbon–molecular–ion
levels were measureddose by amount
dark current spectroscopy under 60 °C. The hydrocarbon–
is 1 × 1015 cm−2 .
molecular–ion dose amount is 1 × 1015 cm−2.

(a) (b)
FigureFigure
13. Histograms of dark
13. Histograms current
of dark currentlevel
levelof
of CMOS imagesensor
CMOS image sensor depending
depending on epitaxial
on epitaxial layer layer
thickness. The dark current levels were measured by dark current spectroscopy under 60 ◦ C. The
thickness. The dark current levels were measured by dark current spectroscopy under 60 °C. The
hydrocarbon–molecular–ion dose amount is 1 × 1015 −2
hydrocarbon–molecular–ion dose amount is 1 × 1015 cm cm−2.. (a)
(a)Number
Number of middle range in white spot
of middle range in white spot
level depends on epitaxial layer thickness, (b) Number of large range in white spot level depends on
level depends on epitaxial layer thickness, (b) Number of large range in white spot level depends on
epitaxial layer thickness.
epitaxial layer thickness.
4.5. TEM and APT Observation Results of Hydrocarbon–Molecular–Ion–Implanted Epitaxial Silicon Wafer
after CMOS Image Sensor Fabrication Process
4.5. TEM and APT Observation Results of Hydrocarbon–Molecular–Ion–Implanted Epitaxial Silicon Wafer
after CMOSFigure
Image14Sensor
showsFabrication
the results Process
of cross-sectional TEM observation of a hydrocarbon–molecular–
ion–implanted epitaxial silicon wafer after the sensor fabrication process [36]. We found the implantation
Figure
related14 shows
defects in the results of cross-sectional
hydrocarbon–molecular–ion TEM observation
implantation of a hydrocarbon–molecular–
projection range. These defects had a
ion–implanted epitaxial silicon
density of approximately 1 × 10 6
wafer −3
cm after
and a the sensor
size of 5 nm. fabrication
No secondaryprocess
extended[36]. We
defects found
such as the
dislocations
implantation and dislocation
related defects inloops were observed in the hydrocarbon–molecular–ion–implantation
hydrocarbon–molecular–ion implantation projection range. These
defectsprojection range. of approximately 1 × 106 cm−3 and a size of 5 nm. No secondary extended defects
had a density
such as dislocations and dislocation loops were observed in the hydrocarbon–molecular–ion–
implantation projection range.
ion–implanted epitaxial silicon wafer after the sensor fabrication process [36]. We found the
implantation related defects in hydrocarbon–molecular–ion implantation projection range. These
defects had a density of approximately 1 × 106 cm−3 and a size of 5 nm. No secondary extended defects
such as dislocations and dislocation loops were observed in the hydrocarbon–molecular–ion–
Sensors 2019, 19, 2073 11 of 20
implantation projection range.

FigureFigure 14. Cross-sectional


14. Cross-sectional TEMTEM observation
observation andand SIMSdepth
SIMS depthprofile
profile of
of carbon
carbonininhydrocarbon–
hydrocarbon–
molecular–ion implantation projection range after CMOS image sensor fabricationprocess
molecular–ion implantation projection range after CMOS image sensor fabrication [36].
process [36].
Figure 15 shows the results of a cross-sectional SEM observation of an APT needle-shaped specimen
Figure
prepared 15 using
shows theion
a field results
beamsoffrom
a cross-sectional SEM observation of an
a hydrocarbon–molecular–ion–implanted APT needle-shaped
epitaxial silicon wafer
specimen prepared using a field ion beams from a hydrocarbon–molecular–ion–implanted
after the CMOS image sensor fabrication process [36]. After preparing the specimen, we used APT epitaxial
to
siliconmeasure
wafer after the CMOS imageimpurity
the three-dimensional sensor fabrication
distributionprocess [36]. After preparing the specimen, we
in the hydrocarbon–molecular–ion–implanted
used APT to measure
epitaxial silicon waferthe after
three-dimensional
CMOS image sensorimpurity distribution
fabrication in the 16
process. Figure hydrocarbon–molecular–
shows the APT map of
ion–implanted epitaxial silicon wafer
the hydrocarbon–molecular–ion after CMOS
implantation image sensor
projection fabrication
range [36]. The dataprocess. Figure
indicate that the 16 shows
carbon
atoms agglomerated into carbon cluster complexes during the CMOS image sensor
the APT map of the hydrocarbon–molecular–ion implantation projection range [36]. The data indicate fabrication process.
that theMoreover,
carbon oxygen atoms became segregated
atoms agglomerated into carbon from carbon
cluster complexes during
complexes during the
theCMOS
CMOSimageimage sensor
sensor
Sensors 2019, 19, x FOR PEER REVIEW 11 of 19
heat treatment.
fabrication process. Moreover, oxygen atoms became segregated from carbon complexes during the
CMOS image sensor heat treatment.

Figure15.
Figure Cross-sectionalSEM
15. Cross-sectional SEMobservation
observationresult
resultfor
foratom
atomprobe
probetomography
tomography(APT)
(APT)needle-shaped
needle-shaped
specimenprepared
specimen preparedbyby field
field ion
ion beam
beam from
from hydrocarbon–molecular–ion–implanted
hydrocarbon–molecular–ion–implanted epitaxial
epitaxialsilicon
silicon
wafers after CMOS image sensor fabrication process
wafers after CMOS image sensor fabrication process [36]. [36].
Figure 15. Cross-sectional SEM observation result for atom probe tomography (APT) needle-shaped
specimen prepared by field ion beam from hydrocarbon–molecular–ion–implanted epitaxial silicon12 of 20
Sensors 2019, 19, 2073
wafers after CMOS image sensor fabrication process [36].

16. Atom
Figure 16.
Figure Atom probe
probetomography
tomography map of hydrocarbon–molecular–ion
map implantation
of hydrocarbon–molecular–ion projection
implantation range
projection
after CMOS
range imageimage
after CMOS sensorsensor
fabrication process
fabrication [36]. [36].
process

4.6. Metallic Impurity Gettering Mechanism of Hydrocarbon–Molecular–Ion–Implanted Silicon Wafer


4.6. Metallic Impurity Gettering Mechanism of Hydrocarbon–Molecular–Ion–Implanted Silicon Wafer
Figure 7 indicates that the hydrocarbon–molecular–ion–implanted silicon wafer can substantially
Figure 7 indicates that the hydrocarbon–molecular–ion–implanted silicon wafer can
decrease white-spot counts compared with a conventional silicon wafer (IG enhanced silicon wafer).
substantially decrease white-spot counts compared with a conventional silicon wafer (IG enhanced
These results show that these wafers have high gettering capability for metallic impurities during
silicon wafer). These results show that these wafers have high gettering capability for metallic
CMOS device fabrication processes.
impurities during CMOS device fabrication processes.
Why do hydrocarbon–molecular–ion–implanted silicon wafers have such high gettering capability
Why do hydrocarbon–molecular–ion–implanted silicon wafers have such high gettering
during the CMOS device fabrication processes?
capability during the CMOS device fabrication processes?
As an answer, we describe below two possible gettering mechanism.
As an answer, we describe below two possible gettering mechanism.
4.6.1. Relaxation-Induced Gettering Mechanism
4.6.1. Relaxation-Induced Gettering Mechanism
High energy ion implantation induces defects such as dislocations and dislocation loops [19,49,50].
These High energy
defects form ion implantation
strain induces
and stress field defects
in silicon such
crystal as Metallic
bulk. dislocations and dislocation
impurities loops
become captured
[19,49,50]. These defects form strain and stress field in silicon crystal bulk. Metallic impurities
by these extended defects. A previous study reported that high energy ion implantation effectively become
captured by these
form gettering extended
sinks defects.
for metallic A previous
impurities. Thisstudy reportedisthat
phenomenon high energy
explained by theion implantation
Cottrell effect, in
effectively form gettering sinks for metallic impurities. This phenomenon is explained
which the solubility of an impurity atom will be greater in the vicinity of secondary extended by the Cottrell
defects
effect, in which the solubility of an impurity atom will be greater in the vicinity of secondary
such as dislocations and dislocation loops [17–19]. However, in our experiment, we did not observe extended
defects such asextended
any secondary dislocations andindislocation
defects loops [17–19]. However,
the hydrocarbon–molecular–ion in our experiment,
implantation projectionwe didafter
range not
observe any secondary extended defects in the hydrocarbon–molecular–ion implantation
the fabrication process as shown in Figure 14. Thus, a relaxation-induced gettering mechanism cannot projection
account for our experimental results.

4.6.2. Segregate-Induced Gettering Mechanism


In segregate-induced gettering, the solid solubility of metallic impurities in the gettering sinks
increases substantially more than in silicon crystal bulk without gettering sinks [51]. Figure 6 indicates
that the metallic impurity concentration in the hydrocarbon–molecular–ion projection range is higher
than that in the solid solubility solution of silicon crystal bulk. Thus, the experimental results indicate
that the hydrocarbon–molecular–ion implantation forms segregate-induced gettering sinks in the
implantation projection range.

4.6.3. Origin of Gettering Sinks in Hydrocarbon–Molecular–Ion Implanted Projection Range


What is the origin of gettering sinks in the hydrocarbon–molecular–ion projection range?
We observed the hydrocarbon–molecular–ion projection range after CMOS image sensor
fabrication process by using APT [48]. The results indicate that the defects form carbon oxygen
agglomerations such as carbon complexes in the implantation projection range. We analyzed the
APT mapping data of carbon complexes to determine the defect density and size by using IVAS data
analysis software (CAMECA, Fitchburg, WI, USA) [36]. The carbon complex density was 1 × 1016 cm−3
and the size was 5 nm [29,36,52]. This means the APT mapping data and TEM observed show the same
Sensors 2019, 19, 2073 13 of 20

hydrocarbon–molecular–ion implanted related defects such as carbon complexes in the implantation


range after the CMOS image sensor fabrication process (See Figure 14).
Why do the gettering sinks of carbon complexes in the ion implantation projection range work for
metallic impurities?
We suppose that these gettering sinks strongly interact with metallic impurities in the
hydrocarbon–molecular–ion implantation projection range.
Shirasawa et al. considered these issues from the view point of theoretical solid-state
physics [53–55]. They conducted a first-principles calculation to determine the binding energies
of metallic impurities and carbon complexes with intrinsic point defects. Their calculation indicates
the cause of the effectiveness of those defects in hydrocarbon–molecular–ion implantation gettering
sinks. They indicate that the origin of the metallic impurity gettering sinks consists of interstitial
carbon and intrinsic point-defects complexes (carbon self-interstitial cluster, vacancy–oxygen pairs and
vacancy–hydrogen pairs). Moreover, our previous study demonstrated that the gettering behavior
of hydrogen in the projection range of hydrocarbon–molecular–ion implantation after epitaxial
growth can be calculated using technology computer aided design (TCAD) incorporating a reaction
model in which hydrogen binds to a carbon and silicon self-interstitial cluster (Cs-I) [35,36]. The
calculation indicated that a Cs-I is an extremely effective gettering sink for metallic impurities in the
hydrocarbon–molecular–ion implantation projection range.
Here, we propose that the hydrocarbon–molecular–ion implantation projection range must be
formed in configurations such as carbon complexes (Cs-I), vacancy–oxygen pairs and vacancy–hydrogen
pairs for effective gettering sinks to form. We used APT for analyzing implantation-related defects in the
implantation projection range after CMOS image sensor fabrication process (see Figure 16). We found
that the observed carbon complexes are gettering sinks in the hydrocarbon–molecular–ion implantation
projection range. We thus believe that the origin of the segregated-induced gettering sinks are carbon
complexes (Cs-I), vacancy–oxygen pairs and vacancy–hydrogen pairs in a hydrocarbon–molecular–ion
implantation projection range.

5. Gettering Technology Design for Back-Side-Illuminated CMOS Image Sensors


What is the best choice of gettering technique for back-side-illuminated CMOS image sensors?
Back-side-illuminated CMOS image sensors (BSI) are being manufactured for the consumer
mobile phone market, because their quantum efficiency is higher than that of front illuminated
CMOS image sensors [25,26]. However, there are serious problems in the BSI fabrication process,
as shown in Figure 17. One is metallic impurity contamination during the thin-wafer fabrication
process; the thickness of a BSI silicon wafer is less than 10 µm. That is, only the epitaxial layer
remains after backside-grinding and chemical mechanical polishing (CMP) completely remove the
CZ silicon wafer substrate. The gettering sinks are thus eliminated by the BSI device fabrication
process. Lee et al. examined copper contamination of the backside grinding process used in thin
MOS-FET device fabrication. They made evaluated by MOS capacitance generation-recombination
lifetime measurements and found that the copper impurities in-diffuse into the device active region
during the backside grinding process and CMP process [9,56–58]. Copper impurities then form
deep-energy level defects in the silicon band-gap. The defects act as G-R centers. Thus, they degrade
the generation-recombination lifetime and device electrical performance.
Another important issue is interface-state defects induced by direct wafer bonding in the BSI
fabrication process [59]. The direct wafer bonding technique uses a high-energy ion beam irradiation
for surface activation. Thus, the wafer surface forms ion-beam-related defects after ion beam irradiation.
These defects affect the time dependent dielectric breakdown voltage (TDDB) and RTS noise. CMOS
image sensor manufacturers require for a way of dealing with these issues. We propose using
hydrocarbon–molecular–ion–implanted epitaxial silicon wafer [60,61].
al. examined copper contamination of the backside grinding process used in thin MOS-FET device
fabrication. They made evaluated by MOS capacitance generation-recombination lifetime
measurements and found that the copper impurities in-diffuse into the device active region during
the backside grinding process and CMP process [9,56–58]. Copper impurities then form deep-energy
level defects in the silicon band-gap. The defects act as G-R centers. Thus, they degrade the
Sensors 2019, 19, 2073 14 of 20
generation-recombination lifetime and device electrical performance.

Figure17.
Figure 17. Gettering
Getteringtechnology
technologydesign
designof
ofback-side-illuminated
back-side-illuminatedCMOS
CMOSimage
imagesensors.
sensors.

There
Another are important
three reasons issuethatisusing hydrocarbon–molecular–ion–implanted
interface-state defects induced by direct wafer epitaxial
bonding silicon
in thewaferBSI
isfabrication
the solution of the[59].
process above The issue.
direct wafer bonding technique uses a high-energy ion beam irradiation
First, Yamaguchi
for surface activation. et al.Thus,
reported the that
wafer hydrocarbon–molecular–implanted
surface forms ion-beam-relatedsilicon wafer
defects canion
after achieve
beam a
decrease of metallic
irradiation. impurities
These defects related
affect dark dependent
the time current anddielectric
that of interface
breakdownstate defect
voltagerelated
(TDDB) darkandcurrent
RTS
in deepCMOS
noise. trench image
isolation (DTI)manufacturers
sensor or Si/SiO2 interfacerequireregion
for a using
way ofCMOS
dealing image
withsensor fabrication
these issues. [62–64]
We propose
(Yamaguchi et al. called this wafer “carbon complexes”).
using hydrocarbon–molecular–ion–implanted epitaxial siliconTheir experimental
wafer [60,61]. results indicate that
the interface
There are state defect
three passivated
reasons by hydrocarbon
that using (mainly hydrogen). They understand
hydrocarbon–molecular–ion–implanted epitaxialthat the
silicon
hydrogen
wafer is the out-diffused
solution oftothe theabove
DTI orissue.
Si/SiO2 interface region from hydrocarbon implantation projection
rangeFirst,
during the CMOS et
Yamaguchi device fabrication
al. reported process.
that Out-diffused hydrogen will be silicon
hydrocarbon–molecular–implanted adsorbed the DTI
wafer can
or Si/SiOa2 interface
achieve decrease of structure
metallicdefects such related
impurities as Pb and E’current
dark centers.andAs that
a result, this wafer
of interface statecan decrease
defect relatedof
DTI
darkorcurrent
Si/SiO2 in interface relatedisolation
deep trench defects during
(DTI) ortheSi/SiO
CMOS device fabrication
2 interface region using process.
CMOS image sensor
Second,[62–64]
fabrication our previous study demonstrated
(Yamaguchi et al. called thisthat wafer
pn-junction
“carbonleakage current ofTheir
complexes”). pn-junction diode
experimental
dramatically
results indicate decreased
that theby combination
interface of both
state defect hydrocarbon–molecular
passivated by hydrocarbonimplantation
(mainly hydrogen).and surfaceThey
activated wafer bonding (SAB) techniques compared to without SAB [65,66].
understand that the hydrogen out-diffused to the DTI or Si/SiO2 interface region from hydrocarbon The pn-junction leakage
current determined
implantation somerange
projection factorsduring
such as themetallic
CMOSimpurity contamination
device fabrication in space-charge
process. Out-diffusedregion hydrogenand
interface state defect
will be adsorbed theinDTIdevice isolation
or Si/SiO region. structure
2 interface With SABdefects
wafer has
such twoas effective
Pb and E’ gettering
centers.sinks
As a under
result,
the
thisepitaxial
wafer can silicon layer.
decrease of One
DTI or is hydrocarbon–molecular-ion
Si/SiO2 interface related defects projection
during therange,CMOSanddevice
the other is SAB
fabrication
bonding
process. regions. Hydrogen storages in hydrocarbon–molecular–ion projection range. This hydrogen
out diffuses
Second,toour theprevious
isolationstudy region during the device
demonstrated heat treatment.
that pn-junction leakage Thecurrent
isolation-related
of pn-junction interface
diode
state defects were
dramatically passivated
decreased by hydrogen. of
by combination Moreover, the SAB bonding regionimplantation
both hydrocarbon–molecular formed stressand andsurface
strain
field [67–69].
activated This
wafer field can
bonding (SAB)act as effective compared
techniques getting sinks during SAB
to without the device
[65,66].fabrication process.
The pn-junction Our
leakage
experimental
current determinedresults some
indicate that such
factors the with SAB wafer
as metallic can improve
impurity the pn-junction
contamination leakageregion
in space-charge current. and
Third,state
interface we demonstrated
defect in device that the hydrogen
isolation region.out-diffused
With SAB wafer to silicon
has epitaxial
two effectivelayergettering
(device activesinks
region)
under the from hydrogen
epitaxial storage
silicon layer. in One hydrocarbon–molecular–ion
is hydrocarbon–molecular-ion projection
projectionrange during
range, and the otherheat
treatment [35,65,66]. This hydrogen 12 13 −2
is SAB bonding regions. Hydrogenof out diffusion
storages amount is 10 to 10 cm projection
in hydrocarbon–molecular–ion measured by SIMS
range. This
after heat treatment
hydrogen out diffuses[70].toItthe
is well known
isolation that Si/SiO
region interface
during2 the devicestate
heatdensity in MOS
treatment. Thetransistor device
isolation-related
isinterface
approximately 1010 were 11 cm−2 [71]. The hydrogen amount of hydrocarbon–implanted silicon
to 10 passivated
state defects by hydrogen. Moreover, the SAB bonding region formed stress
wafer is twofield
and strain or three orders
[67–69]. This of field
magnitude
can acthigher than the
as effective typical
getting Si/SiO
sinks 2 interface
during state fabrication
the device density in
MOS transistor device. Thus, we believe that the Si/SiO2 interface state defect passivated by diffused
hydrogen from hydrocarbon–molecular–ion projection range during heat treatment.
Our proposal gettering design concept for silicon wafer production leaves intact gettering sinks
in the epitaxial layer after backside grinding and the CMP process in BSI fabrication. We previously
reported that the metallic impurity gettering capability of this wafer was higher than that in the CZ
silicon substrate using APT [60,61]. Because the gettering capability depends on the depth profile of
gettering sinks in the silicon epitaxial layer.
Regarding the TDDB and RTS noise issues, CMOS image sensor manufacturers use a
low-temperature hydrogen sintering treatment to decrease interface-state defects by hydrogen
Sensors 2019, 19, 2073 15 of 20

passivation. However, it is extremely difficult for hydrogen to diffuse to the wafer bonding interface after
the metallization process. The metal electrode and die-electrode film act as a hydrogen diffusion barrier
during the hydrogen sintering treatment. Thus, the hydrogen does not diffuse the wafer bonding
interface. However, our wafer stores hydrogen in the hydrocarbon–molecular–ion implantation
projection range of the epitaxial layer [35,65,66]. Hydrogen diffuses into the bonding interface region
during the heat treatment [65,66]. This hydrogen diffuses to the wafer bonding interface during the
BSI fabrication process. We suppose that wafer-bonding-interface-state defects are passivated by this
hydrogen. Our novel silicon wafer can decrease interface state defect density during the BSI fabrication
process. Therefore, we think that it is a solution to the above technical problems.

6. Conclusions
CMOS image sensors are ubiquitous devices and demand from the consumer market has driven the
rise in performance of these sensors. However, technical issues such as metallic impurity contamination
during device fabrication have hindered their manufacture. Here, we developed a metallic impurity
gettering technique that uses hydrocarbon–molecular–ion–implanted epitaxial silicon wafers. This
novel silicon wafer technology can dramatically decrease dark current during the sensor fabrication
process. We conclude that silicon wafers made with this technology have higher gettering capability
compared with conventional epitaxial silicon wafers (IG enhanced epitaxial silicon wafers). We believe
that this novel technology will improve CMOS image sensor performance.

7. Patents
1. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. Japan Patent 5,673,811,
9 January 2015.
2. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. Japan Patent 5,799,935,
4 September 2015.
3. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. Japan Patent 5,799,936,
4 September 2015.
4. Kadono, T. Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and method of
producing solid-state image sensing device. Japan Patent 5,776,669, 9 September 2015.
5. Kadono, T. Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and method of
producing solid-state image sensing device. Japan Patent 5,776,670, 17 July 2015.
6. Kadono, T. Method of producing semiconductor epitaxial wafer, semiconductor epitaxial
wafer, and method of producing solid-state image sensing device. U.S. Patent 9,224,601,29
December 2015.
7. Kadono, T. Semiconductor epitaxial wafer. U.S. Patent 9,397,172, 19 July 2016.
8. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. U.S. Patent 9,496,139,
15 November 2016.
9. Iwanaga, T.; Kurita, K.; Kadono, T. Method of producing epitaxial silicon wafer and epitaxial
silicon wafer. Japan Patent 6,056,772, 16 December 2016.
10. Kadono, T.; Kurita, K. Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and
method of producing solid-state image sensing device. Japan Patent 6,107,068, 17 March 2017.
11. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. Japan Patent 6,221,928,
13 October 2017.
Sensors 2019, 19, 2073 16 of 20

12. Kadono, T.; Kurita, K. Method of producing bonded silicon wafer and bonded silicon wafer.
Japan Patent 6,229,258, 27 October 2017.
13. Shigematsu, S.; Okuyama, R.; Kurita, K. Gettering capability evaluation mehod and epitaxial
silicon wafer. Japan Patent 6,327,393, 27 April 2017.
14. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. Japan Patent 6,278,592,
26 January 2018.
15. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. Japan Patent 6,289,805,
16 February 2018.
16. Kadono, T.; Kurita, K. Method of producing bonded silicon wafer and bonded silicon wafer.
Japan Patent 6,265,291, 15 January 2018.
17. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer, semiconductor
epitaxial wafer, and method of producing solid-state image sensing device. Japan Patent 6,278,591,
26 January 2018.
18. Kadono, T.; Kurita, K. Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and
method of producing solid-state image sensing device. Japan Patent 6,280,301, 26 January 2018.
19. Kadono, T.; Kurita, K. Method of producing epitaxial silicon wafer, epitaxial silicon wafer, and
method of producing solid-state image sensing device. Japan Patent 6,361,779, 6 July 2018.
20. Iwanaga, T.; Kurita, K.; Kadono, T. Epitaxial wafer manufacturing method and epitaxial wafer.
U.S. Patent 10,062,569, 28 August 2018.
21. Iwanaga, T.; Kurita, K. Method of producing epitaxial silicon wafer. Japan Patent 6,413,238, 12
October 2018.
22. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer and method of
producing solid-state image sensing device. Japan Patent 6,459,948, 11 January 2019.
23. Kadono, T.; Kurita, K. Method of producing semiconductor epitaxial wafer and method of
producing solid-state image sensing device. Japan Patent 6,485,315, 1 March 2019.

Author Contributions: K.K. and T.K. developed the hydrocarbon–molecular–ion–implanted silicon wafers and
the evaluation of electrical device performance. S.S. and A.O.-M. evaluated the ion implantation related defects
using APT. R.O. and R.H. evaluated the hydrogen out-diffusion from implantation projection range using SIMS.
Y.K. and H.O. evaluated the ion implantation related defects using TEM. K.K. and T.K. wrote the manuscript.
Acknowledgments: The authors would like to express their thanks to Hisashi Furuya and Naoki Ikeda for the
continuous encouragement they extended to our research group concerning fundamental research activities and
for their useful technical discussion with our R&D team.
Conflicts of Interest: The authors declare no conflict of interest.

References
1. Kuroda, T. Essential Principles of Image Sensors; CRC Press: Tokyo, Japan, 2014; Chapter 5, p. 55.
2. Takahashi, H. CMOS Image Sensor; Corona: Tokyo, Japan, 2012; Chapter 3, pp. 123–124. (in Japanese)
3. Graff, K. Metal Impurities in Silicon-Devices Fabrication, 2nd ed.; Springer: Berlin/Heidelberg, Germany, 2000;
Chapter 7, pp. 190–200.
4. Claeys, C.; Simoen, E. Metal Impurities in Silicon- and Germanium-Based Technologies; Springer: Cham,
Switzerland, 2018; Chapter 7, pp. 332–336.
5. Weber, E.R. Transition metals in silicon. Appl. Phys. 1983, 30, 1–22. [CrossRef]
6. Istratov, A.A.; Weber, E.R. Electrical properties and recombination activity of copper, nickel and cobalt in
silicon. Appl. Phys. 1998, 66, 123–136. [CrossRef]
7. Jastrzebski, L.; Soydan, R.; Cullen, G.W.; Henry, W.N.; Vecrumba, S. Silicon Wafers for CCD Imagers.
Electrochem. Soc. 1987, 134, 212–221. [CrossRef]
Sensors 2019, 19, 2073 17 of 20

8. Rotondara, A.L.; Hurd, T.Q.; Kaniava, A.; Vanhellemont, J.; Simoen, E.; Heyns, M.M.; Claeys, C.; Brown, G.
Impact of Fe and Cu Contamination on the Minority Carrier Lifetime of Silicon Substrates. J. Electrochem.
Soc. 1996, 143, 3014–3019. [CrossRef]
9. Lee, K.-W.; Bea, J.-C.; Fukushima, T.; Tanak, A.T.; Koyanagi, M. Cu Retardation Performance of Extrinsic
Gettering Layers in Thinned Wafers Evaluated by Transient Capacitance Measurement. J. Electrochem. Soc.
2011, 158, H795–H799. [CrossRef]
10. Shoyama, T. Influence of various impurities on performance of CMOS image sensor. In Proceedings of the
2018 8th Forum on the Science and Technology of Silicon Materials, Okayama, Japan, 21 November 2018;
pp. 184–186.
11. Leyris, C.; Martinez, F.; Valenza, M.; Hoffmann, A.; Vildeuil, J.C.; Roy, F. Impact of Random Telegraph Signal
in CMOS Image Sensors for Low-Light Levels. In Proceedings of the 32nd European IEEE Solid-State Circuits
Conference, Montreux, Switzerland, 19–21 September 2006; pp. 376–379.
12. Virmontois, C.; Goiffon, V.; Magnan, P.; Saint-Pe, O.; Girard, S.; Petit, S.; Rolland, G.; Bardoux, A. Total
Ionizing Dose Versus Displacement Damage Dose Induced Dark Current Random Telegraph Signals in
CMOS Image Sensors. IEEE Trans. Nucl. Sci. 2011, 58, 3085–3094. [CrossRef]
13. Regolini, J.L.; Benoit, D.; Morin, P. Passivation issues in active pixel CMOS image sensors. Microelectron.
Reliab. 2007, 47, 739–742. [CrossRef]
14. Gilles, D.; Weber, E.R.; SooKap, H. Mechanism of internal gettering of interstitial impurities in
Czochralski-grown silicon. Phys. Rev. Lett. 1990, 64, 196. [CrossRef] [PubMed]
15. Aoki, M.; Hara, A.; Ohsawa, A. Fundamental properties of intrinsic gettering of iron in a silicon wafer. J.
Appl. Phys. 1992, 72, 895–898. [CrossRef]
16. Shimura, F. Semiconductor Silicon Crystal Technology; Academic Press: Cambridge, MA, USA, 1989.
17. Wong, H.; Cheung, N.W.; Chu, P.K. Gettering of gold and copper with implanted carbon in silicon. Appl.
Phys. Lett. 1988, 52, 889–891. [CrossRef]
18. Wong, H.; Cheung, N. Proximity gettering with mega-electron-volt carbon and oxygen implantations. Appl.
Phys. Lett. 1988, 52, 1023–1025. [CrossRef]
19. Kuroi, T.; Kawasaki, Y.; Komori, S.; Fukumoto, K.; Inoshi, M.; Tsukamoto, K.; Shinyashiki, H.; Shingyouji, T.
Proximity Gettering of Heavy Metals by High-Energy Ion Implantation. Jpn. J. Appl. Phys. 1993, 32, 303–307.
[CrossRef]
20. Agarwal, A.; Christensen, K.; Venable, D.; Maher, D.M.; Rozgonyi, G.A. Oxygen gettering and precipitation
at MeV Si+ ion implantation induced damage in silicon. Appl. Phys. Lett. 1996, 69, 3899. [CrossRef]
21. Kononchuk, O.; Brown, R.A.; Radzimiski, Z.; Rozgonyi, G.A. Gettering of Fe to below 1010 cm−3 in MeV
self-implanted Czochralski and float zone silicon. Appl. Phys. Lett. 1996, 69, 4203. [CrossRef]
22. Brown, R.A.; Kononchuk, O.; Bondarenko, I.; Romanowski, A.; Radzzimski, Z.; Rozgonyi, G.A. Metallic
Impurity Gettering and Secondary Defect Formation in Megaelectron Volt Self-Implanted Czochralski and
Float-Zone Silicon. J. Electrochem. Soc. 1997, 144, 2872–2881. [CrossRef]
23. Koveshikov, S.; Rozgonyi, G.A. Mechanism of iron gettering in MeV Si ion implanted epitaxial silicon. J.
Appl. Phys. 1998, 84, 3078–3084. [CrossRef]
24. Li, F.; Nathan, A. CCD Image Sensors in Deep-Ultraviolet. Degradation Behavior and Damage Mechanisms;
Springer: Berlin/Heidelberg, Germany, 2005.
25. Takahashi, S. A 45 nm Stacked CMOS Image Sensor Process Technology for Submicron Pixel. Sensors 2017,
17, 2816. [CrossRef]
26. Venezia, V.C. Second Generation Small Pixel Technology Using Hybrid Bond Stacking. Sensors 2018, 18, 667.
[CrossRef] [PubMed]
27. Kadono, T.; Kurita, K. Method of Producing Semiconductor Epitaxial Wafer, Semiconductor Epitaxial Wafer,
and Method of Producing Solid-State Image Sensing Device. Japan Patent 5,673,811, 9 January 2015.
28. Kurita, K. Progress of silicon wafer gettering technology. Oyo Buturi 2015, 84, 628–633. (In Japanese)
29. Kurita, K.; Kadono, T.; Okuyama, R.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. Proximity gettering
of C3 H5 carbon cluster ion-implanted silicon wafers for CMOS image sensors: Gettering effects of transition
metal, oxygen, and hydrogen impurities. Jpn. J. Appl. Phys. 2016, 55, 121301. [CrossRef]
30. Yamada, I.; Matsuo, J. Solid surface process by gas cluster ion beam. Oyo Buturi 1997, 66, 559. (In Japanese)
31. Yamada, I. Applications of gas cluster ion beams for materials processing. Mater. Sci. Eng. 1996, 217, 82–88.
[CrossRef]
Sensors 2019, 19, 2073 18 of 20

32. Tanjyo, M.; Hamamoto, N.; Nagayama, T.; Umisedo, S.; Koga, Y.; Maehara, N.; Une, H.; Matsumoto, T.;
Nagai, N.; Borland, J.O. Cluster Ion Implantation System: Claris for Beyond 45 nm Device Fabrication (II).
ECS Trans. 2009, 18, 1059–1064.
33. Okuyama, R.; Kadono, T.; Masada, A.; Hirose, R.; Koga, Y.; Okuda, H.; Kurita, K. Trapping and diffusion
kinetic of hydrogen in carbon-cluster ion-implantation projected range in Czochralski silicon wafers. Jpn. J.
Appl. Phys. 2017, 56, 601–606. [CrossRef]
34. Kurita, K.; Kadono, T.; Okuyama, R.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. Proximity Gettering
Technology for Advanced CMOS Image Sensors Using C3 H5 Carbon Cluster Ion Implantation Technique. In
Proceedings of the IEEE Electron Device Technology and Manufacturing Conference, Toyama, Japan, 28
February–2 March 2017; pp. 105–106. [CrossRef]
35. Okuyama, R.; Shigematsu, S.; Hirose, R.; Masada, A.; Kadono, T.; Koga, Y.; Okuda, H.; Kurita, K. Trapping
and diffusion behaviour of hydrogen simulated with TCAD in projection range of carbon-cluster implanted
silicon epitaxial wafers for CMOS image sensors. Phys. Status Solidi C 2017, 14, 1700036. [CrossRef]
36. Kurita, K.; Kadono, T.; Okuyama, R.; Shigematsu, S.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H.
Proximity gettering technology for advanced CMOS image sensors using carbon cluster ion-implantation
technique: A review. Phys. Status Solidi A 2017, 214, 1700216. [CrossRef]
37. Kelly, T.F.; Miller, M.K. Atom probe tomography. Rev. Sci. Instrum. 2007, 78, 031101. [CrossRef] [PubMed]
38. Takahashi, H.; Kinochita, M.; Morita, K.; Shirai, T.; Sato, T.; Kimura, T.; Yuzurihara, H.; Inoue, S. A 3.9-/spl
mu/m pixel pitch VGA format 10-b digital output CMOS image sensor with 1.5 transistor/pixel. IEEE J.
Solid-State Circuits 2004, 39, 2417–2425. [CrossRef]
39. Mori, M.; Katsuno, M.; Kasuga, S.; Murata, T.; Yamaguchi, T. 1/4-inch 2-mpixel MOS image sensor with 1.75
transistors/pixel. IEEE J. Solid-State Circuits 2004, 39, 2426–2430. [CrossRef]
40. McGrath, R.D.; Doty, J.; Lupino, G.; Ricker, G.; Vallerga, V. Counting of Deep-Level Traps Using a
Charge-Coupled Devices. IEEE Trans. Electron Devices 1987, 34, 2555–2557. [CrossRef]
41. Mccolgin, W.C.; Lavine, J.P.; Stancampiano, C.V. Probing Metal Defects in CCD Image Sensors. MRS Proc.
1995, 378. [CrossRef]
42. Mccolgin, W.C.; Lavine, J.; Stancampiano, C.V. Dark Current Spectroscopy of Metals in Silicon. MRS Proc.
1996, 422, 187. [CrossRef]
43. Lang, D.V. Deep-level transient spectroscopy: A new method to characterize traps in semiconductors. J.
Appl. Phys. 1974, 45, 3023–3032. [CrossRef]
44. Schroder, D.K. Semiconductor Material and Device Characterization, 2nd ed.; Wiley: New York, NJ, USA, 1998;
pp. 290–309.
45. Domengie, F.; Regolini, J.L.; Bauza, D. Study of Metal Contamination in CMOS Image Sensors by Dark-Current
and Deep-Level Transient Spectroscopies. J. Electr. Mater. 2010, 39, 625–629. [CrossRef]
46. Russo, F.; Moccia, G.; Nardone, G.; Alfonsetti, R.; Polsinelli, G.; D’Angelo, A.; Patacchiola, A.; Liverani, M.;
Pianezza, P.; Lippa, T.; et al. Proximity gettering of slow diffuser contaminants in CMOS image sensors.
Solid-State Electron. 2014, 91, 91–99. [CrossRef]
47. Russo, F.; Nardone, G.; Polignano, M.L.; D’Ercole, A.; Pennella, F.; Felice, M.D.; Monte, A.D.; Matarazzo, A.;
Moccia, G.; Polsinelli, G.; et al. Dark Current Spectroscopy of Transition Metals in CMOS Image Sensors.
ECS J. Solid State Sci. Technol. 2017, 6, 217–226. [CrossRef]
48. Kurita, K.; Kadono, T.; Okuyama, R.; Hirose, R.; Onaka-Masada, A.; Koga, Y.; Okuda, H. Proximity Gettering
Design of Silicon Wafers Using Hydrocarbon Molecular Ion Implantation Technique for Advanced CMOS
Image Sensors. In Proceedings of the 22nd International Conference on Ion Implantation Technology (IIT
2018), Würzburg, Germany, 16–21 September 2018; p. 95.
49. Kang, J.S.; Schroder, D.K. Gettering in silicon. J. Appl. Phys. 1989, 65, 2974–2985. [CrossRef]
50. Benton, J.L.; Stolk, P.A.; Eaglesham, D.J.; Jacobson, D.C.; Cheng, J.Y.; Poate, J.M.; Ha, N.T.; Haynes, T.E.;
Myers, S.M. Iron gettering mechanisms in silicon. J. Appl. Phys. 1996, 80, 3275. [CrossRef]
51. Baldi, L.; Cerofolini, G.F.; Ferla, G.; Frigerio, G. Gold solubility in silicon and gettering by phosphorus. Phys.
Status Solidi 1978, 48, 525–532. [CrossRef]
Sensors 2019, 19, 2073 19 of 20

52. Shigematsu, S.; Okuyama, R.; Hirose, R.; Masada, A.; Kadono, T.; Koga, Y.; Okuda, H.; Kurita, K. Oxygen
Gettering Mechanism of Carbon Cluster Ion-Implanted Silicon Wafers for CMOS Image Sensors Revealed
by Three-Dimensional Laser-Assisted Atom Probe Tomography. In Proceedings of the 19th Scientific
International Symposium on SIMS and Related Techniques Based on Ion-Solid Interactions, Koyto, Japan, 11
May 2017; p. 22.
53. Shirasawa, S.; Sueoka, K.; Yamaguchi, T.; Maekawa, K. Useful Database of Effective Gettering Sites for Metal
Impurities in Si Wafers with First Principles Calculation. J. Electrochemica. Soc. 2015, 4, 351–355. [CrossRef]
54. Shirasawa, S.; Sueoka, K.; Yamaguchi, T.; Maekawa, K. First principles analysis on proximity gettering sites
formed by C3 H5 cluster ion implantations. In Proceedings of the 76th Autumn Meeting of Japan Society of
Applied Physics and Related Societies, Nagoya, Japan, 14 September 2015. (In Japanese)
55. Shirasawa, S.; Sueoka, K.; Yamaguchi, T.; Maekawa, K. Density functional theory calculations for estimation
of gettering sites of C, H, intrinsic point defects and related complexes in Si wafers. Mater. Sci. Semicond.
Process. 2016, 44, 13–17. [CrossRef]
56. Lee, K.; Tani, T.; Naganuma, H.; Ohara, Y.; Fukushima, T.; Koyanagi, M. Impact of Cu contamination on
memory retention characteristics in thinned DRAM chip for 3-D integration. IEEE Electron Dev. Lett. 2012,
33, 1297–1299. [CrossRef]
57. Lee, K.; Tanikawa, T.; Naganuma, H.; Bea, J.C.; Murugesan, M.; Fukushima, T.; Koyanagi, M. Impact of Cu
contamination in 3D integration process on memory retention characteristics in thinned DRAM chip. In
Proceedings of the IEEE International Reliability Physics Symposium (IRPS14), Waikoloa, HI, USA, 1 June
2014; pp. 3E4.1–3E4.6. [CrossRef]
58. Lee, K.W.; Tanikawa, S.; Murugesan, M.; Naganuma, H.; Bea, J.C.; Fukushima, T.; Tanaka, T.; Koyanagi, M.
Impact of 3-D integration process on memory retention characteristics in thinned DRAM chip for 3-D
high-reliable 3-D DRAM. IEEE Trans. Electron Dev. 2014, 51, 379–385. [CrossRef]
59. Vici, A.; Russo, F.; Lovisi, N.; Latessa, L.; Marchioni, A.; Casella, A.; Irrera, F. Through-silicon-trench in
back-side-illuminated CMOS image sensors for the improvement of gate oxide long term performance. In
Proceedings of the International Electron Devices Meeting (IEDM), San Francisco, CA, USA, 2–5 December
2018; pp. 745–750.
60. Onaka-Masada, A.; Okuyama, R.; Nakai, T.; Shigematsu, S.; Okuda, H.; Kobayashi, K.; Hirose, R.; Kadono, T.;
Yoshihiro, K.; Shinohara, M.; et al. Gettering mechanism in hydrocarbon–molecular–ion–implanted epitaxial
silicon wafers revealed by three-dimensional atom imaging. Jpn. J. Appl. Phys. 2018, 57, 091302. [CrossRef]
61. Onaka-Masada, A.; Okuyama, R.; Shigematsu, S.; Okuda, H.; Hirose, R.; Kadono, T.; Yoshihiro, K.; Sueoka, K.;
Kurita, K. Gettering Sinks for Metallic Impurities Formed by Carbon-Cluster Ion Implantation in Epitaxial
Silicon Wafers for CMOS Image Sensor. IEEE J. Electron Device Soc. 2018, 6, 1205–1211. [CrossRef]
62. Yamaguchi, T.; Kamino, T.; Goto, Y.; Kimura, M.; Inoue, M.; Matsuura, M. White Spots Reduction by Ultimate
Proximity Metal Gettering at Carbon Complexes Formed underneath Contact Area in CMOS Image Sensors.
In Proceedings of the 2016 IEEE Symposium on VLSI Technology, Honolulu, HI, USA, 14–16 June 2016.
[CrossRef]
63. Yamaguchi, T. White Spots Reduction of CMOS Image Sensors by Proximity Metal Gettering Technology. In
Proceedings of the 145th Committee on Processing and Characterization of Crystals of The Japan Society for
the Promotion of Science, Tokyo, Japan, 21 July 2017; pp. 20–24. (In Japanese)
64. Yamaguchi, T.; Kamino, T.; Goto, Y.; Kimura, M.; Inoue, M.; Matsuura, M. Investigate of Implantation
Damage Recover and Gettering Technology for CMOS Image Sensor. In Proceedings of the 2018 8th Forum
on the Science and Technology of Silicon Materials, Okayama, Japan, 21 November 2018; pp. 170–177.
65. Koga, Y.; Kadono, T.; Shigematsu, S.; Hirose, R.; Masada, O.A.; Okuyama, R.; Okuda, H.; Kurita, K.
Room-temperature bonding of epitaxial layer to carbon-cluster ion-implanted silicon wafers for CMOS
image sensors. Jpn. J. Appl. Phys. 2018, 57, 061302. [CrossRef]
66. Kurita, K.; Koga, Y.; Kadono, T.; Shigematsu, S.; Hirose, R.; Masada, O.A.; Okuyama, R.; Okuda, H. Proximity
Gettering Design of Hydrocarbon Molecular Ion Implanted Silicon Wafers using Direct Bonding Technique
for Advanced CMOS image sensors: A review. ECS Transaction. 2018, 86, 77–93. [CrossRef]
67. Suga, T.; Takahashi, Y.; Takagi, H.; Gibbesch, B.; Elssner, G. Structure of Al-Al and Al-Si3 N4 interfaces bonded
at room temperature by means of the surface activation method. Acta Metall. Mater. 1992, 40, S133–S137.
[CrossRef]
Sensors 2019, 19, 2073 20 of 20

68. Takagi, H.; Kikuchi, K.; Maeda, R. Surface activated bonding of silicon wafers at room temperature. Appl.
Phys. Lett. 1996, 68, 2222–2224. [CrossRef]
69. Takagi, H.; Maeda, R.; Chung, T.R.; Hosoda, H.; Suga, T. Effect of Surface Roughness on Room-Temperature
Wafer Bonding by Ar Beam Surface Activation. Jpn. J. Appl. Phys. 1998, 37, 4197–4203. [CrossRef]
70. Okuyama, R.; Masada, O.A.; Kobayashi, K.; Shigematsu, S.; Kadono, T.; Hirose, R.; Koga, Y.; Okuda, H.;
Kurita, K. Diffusion behavior of hydrogen in molecular ion implanted silicon epitaxial wafers for advanced
CMOS image sensor. In Proceedings of the 2018 8th Forum on the Science and Technology of Silicon Materials,
Okayama, Japan, 21 November 2018; pp. 187–200.
71. Sez, S.M. Physic of Semiconductor Devices, 2nd ed.; Wiley: New York, NJ, USA, 1981; Chapter 8, pp. 379–385.

© 2019 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access
article distributed under the terms and conditions of the Creative Commons Attribution
(CC BY) license (http://creativecommons.org/licenses/by/4.0/).

You might also like